PDA

Archiv verlassen und diese Seite im Standarddesign anzeigen : 16 nm, der schwierige Schritt und alles danach


phil99
2009-10-23, 18:22:17
Ich möchte hier mal einen Thread über die Fertigungsgrößen 16nm und darunter aufmachen. 22nm ist ja schon geschafft, erste Prototypen laufen. Aber ab 16 nm solls schwierig werden. Fusionen sollen gegründet werden, um diesen Schritt hinzubekommen.
Was wird unter 22nm zum Problem. Ich glaube schon 22nm ist mit allerlei Tricks bewerkstelligt worden. Verschiedenen Wellenlängen kombiniert etc.
Leider habe ich selbst wenig Ahnung von der Materie, aber interessiere mich brennend dafür.

Gouvernator
2009-10-23, 18:28:00
Es gab mal vor Jahren einen sehr umfangreichen Artikel von CT über EUVL kannst dich gut informieren.
http://www.heise.de/kiosk/archiv/ct/2003/13/198

Coda
2009-10-23, 18:30:52
EUVL kommt vielleicht aber nie.

Gouvernator
2009-10-23, 18:36:52
EUVL kommt vielleicht aber nie.
Ja aber es geht im Artikel grösstenteils um Probleme welche entstehen wenn man so kleine Strukturen fertigen will. Da ist alles dabei von sämtlichen Wellenlängen bis zur Grösse des Staubkorns das auf dem Wafer/Maske liegt. Nach diesem Artikel könnte man wahrscheinlich selbst bei Intel anheuern...

Coda
2009-10-23, 18:42:06
Nein, es geht nicht um die Größe der Strukturen. EULV wird nur wohl nicht verwendet werden, weil es zu teuer ist. Man macht wohl lieber Multi-Pattering und bleibt bei 193nm immersion.

Wobei vielleicht der Photolack da eh nicht mehr funktioniert. Es geht dem Ende zu.

reunion
2009-10-23, 18:43:40
Intel hat jedenfalls schon Roadmaps bis 2nm AFAIK vorgestellt. Und man will weiter alle zwei Jahre die Strukturbreite halbieren.

Coda
2009-10-23, 18:44:21
Bis 2nm bestimmt nicht. 11nm ist noch irgendwann vorgesehen, wenn sie es überhaupt hinbekommen.

reunion
2009-10-23, 18:45:12
Bis 4nm:
http://www.computerbase.de/news/wirtschaft/unternehmen/intel/2009/august/intel-technologie-ausblick_4_jahr_2022/

Coda
2009-10-23, 18:46:58
Spätestens jedoch zur Herstellung mit einer Strukturgröße von nur noch 8 nm bedarf es eines technologischen Durchbruchs irgend einer Art – wie der jedoch aussehen wird ist aktuell unbekannt.
Geile Roadmap. Irgendwas hinschreiben kann jeder.

reunion
2009-10-23, 18:48:43
Das man heute noch nicht weiß was man 2020 macht verwundert wenig. Jedenfalls geht man nicht davon aus das man da anstehen wird.

Coda
2009-10-23, 18:50:20
Nö, so einfach ist das nicht. Man wusste die prinzipiellen Techniken die man für 11nm anwenden will auch schon einige Zeit jetzt.

8nm kann man nicht mehr auf Silizium belichten. Da braucht man etwas völlig anderes. Völlige Nullaussage also. Man weiß überhaupt nichts, außer dass man evtl. Nanoröhren einsetzen will - nur weiß keiner im Ansatz überhaupt wie man daraus komplexe größere Strukturen herstellen soll und ob das überhaupt noch wirtschaftlich geht.

Es hat schon einen guten Grund, warum diese Auflistung nur bis 11nm geht: http://en.wikipedia.org/wiki/11_nanometer

Gast
2009-10-23, 18:53:21
Ich bin mir ziemlich sicher, schonmal was von 12 oder 10nm gelesen zu haben und das diese bereits möglich wären.
Ist schon einige Zeit her, aber da gabs mal eine Meldung zu.

Die Frage ist ja, was danach abgeht. Gehen wir jetzt einfach mal davon aus, es gäbe keine Strukturverkleinerung mehr, wie wollen sie dann mehr Leistung aus ähnlicher Fläche holen? Auch da ist bei der Optimierung irgendwann Schluß oder zumindest ne Grenze erreicht (Diamant-CPUs wären nicht umbedingt wirftschaftlich =))

@reunion.
Vor ein paar Jahren wusste man noch nicht, was 3-5 Jahre später ist und man hielt den jetzigen Technikstand für unmöglich. Aber nichts ist unmöglich, so lang es eine Lösung dafür gibt...

Coda
2009-10-23, 18:54:26
Diamant-CPUs wären nicht umbedingt wirftschaftlich =))
Nicht unbedingt. Es wird bereits daran geforscht Diamant-Wafer zu produzieren und Kohlestoff an sich ist ja spottbillig.

Gast
2009-10-23, 18:58:31
Wir können die Grenzen noch ausreizen, aber auch nicht ewig lang.

Denke mal an Temperatur und Stromverbrauch. Selbst wenn das Material hitzebeständiger wäre, kannst du da keinen Atomreaktor reinpflanzen. Auch die Stromversorgung wäre dann ein Problem...

Allerdings ist es doch erstaunlich, das ein Prozess noch lange nicht ausgereift ist, selbst wenn er bereits im Einsatz ist. Sowohl bei CPUs als auch GPUs sieht und hört man ja immer von Verbesserung bezüglich der Ausbeute oder besserer Taktfähigkeit. Keine Ahnung inwiefern sich da über Jahre hinweg noch was machen lässt.

Gast
2009-10-23, 19:03:51
Für den Einsatz in der Lithographie entwickelten die Forscher Plasmon-Linsen: Konzentrische Ringe auf einer Metallplatte bündeln das Licht auf winzige Löcher im Zentrum. In einem Versuchsaufbau waren diese Öffnungen kleiner als 100 nm im Durchmesser, theoretisch können sie aber bis zu 5 nm klein sein. Diese Platte mit den "Linsen" montierten die Forscher an einem Arm ähnlich dem Tonarm eines Plattenspielers. Der Kopf schwebt über dem rotierenden Material, das belichtet werden soll, auf dem sich Strukturen kleiner als 10 nm mit großer Geschwindigkeit aufbauen lassen.
http://www.heise.de/newsticker/meldung/Forscher-in-Berkeley-wollen-Mooresches-Gesetz-retten-213725.html

Das ist eigentlich das letzte was ich davon gehört habe. Inwiefern das alles Praxistauglich sein wird, ist erstmal die Frage.
Aber immerhin bedeutet eine technische Möglichkeit schonmal, das es ginge, nur zu welchem Preis. Kann mir aber gut vorstellen, das die Entwicklung trotzdem vorrangetrieben wird, selbst wenn sie zu teuer ist, denn für Militär & Co sind ein paar Millionen eigentlich nix.

Coda
2009-10-23, 19:11:17
Da geht's eher um mehrere Milliarden.

reunion
2009-10-23, 19:12:45
Wir sprechen aber heute schon von einige Milliarden um neue Fertigungsstrukturen zu entwickeln.

Zu spät.

Gast
2009-10-23, 19:15:42
Bei Entwicklung & Herstellung vielleicht, ich meinte aber für einzelne CPUs.

Ich glaube nicht, das die so schnell so teuer werden würden, selbst mit exotischem Material. Problem wird sein, das heutiges Preisniveau kaum zu halten sein wird, wenn sie wieder fast bei 0 beginnen müssen in der (Massen)-Produktion. Aber einige Großabnehmer wirds halt weiterhin geben, die daran interessiert sind...
Allerdings warte ich erstmal ab, ich hab schon mehr als einmal gelesen, das man nicht wusste wie es weiter gehen soll und heute scheints so, als gab es überhaupt keine Hindernisse, weil sie doch in regelmäßigen Abständen so weiter ging wie bisher.
Wo sind die Zweifler der letzten Jahre? Alle verstummt? :)

basti333
2009-10-23, 19:19:25
Wir sprechen aber heute schon von einige Milliarden um neue Fertigungsstrukturen zu entwickeln.

Zu spät.


Wobei Intel ja kundtut das sich die Strukturverkleinerungen trozdem bezahlt machen, es also -für Intel- bisher noch keinen Sinn gemacht hätte eine verkleinerungen hinauszuzögern.

phil99
2009-10-23, 20:46:09
Man könnte sich ja erstmal über 16 nm unterhalten, bevor man von kleiner 5nm redet oder gleich den DrehImpuls einführen will.
Gibts da schon Informationen. Wie ich heute gelesen habe, war die 45nm Variante ein großer Schritt, wie seit den 60-Jahren nicht mehr.
High K-Gate oder sowas.
16 nm ist noch nicht unter Dach und Fach, soviel ich verstanden habe. Ich will hier nicht drüber diskutieren, was ein Quantencomputer 2060 leisten kann, oder ob Computer bald nur noch 10 Euro kosten (normale Anwendungen vorausgesetzt), sondern die Probleme der 16nm bzw eventuell noch 11 nm erörtern.

G!ZMo
2009-10-23, 22:30:46
Nicht unbedingt. Es wird bereits daran geforscht Diamant-Wafer zu produzieren und Kohlestoff an sich ist ja spottbillig.
Vor allem für die Leistungselektronik wäre Kohlenstoff wohl die beste Wahl, da aufgrund des hohen Bandabstandes von 5.5 eV im Vergleich zu Silizium (wg=1.12 eV) dünnere Halbleiterbauelemente mit besseren Sperreigenschaften produziert werden könnten. ;)
Ja ok das hat nun nicht mehr allzuviel mit der CPU-Herstellung zu tun. ;D

|-Sh0r7y-|
2009-10-24, 18:56:03
Das Menschliche Gehirn soll
10.000.000.000.000.000 Berechnungen Pro Sekunde können.
Hab gelesen das es im Jahr 2020 Nanochips diese Leistung erreichen werden und im Jahr 2030 soll so ein Chip 1 $ Kosten..
Wie soll das möglich sein wenn die Fertigungstechnologie an seine Grenzen stößt?

Wenn die Chips nicht mehr kleiner werden gibs auch keine Verdopplung der Leistung alle 2 Jahre.
Ich will 2050 ein Roboter Butler der die ganze Arbeit macht und nicht mehr als ein heutiger LCD Fernsehr Kostet;D

Coda
2009-10-24, 19:25:34
Wie soll das möglich sein wenn die Fertigungstechnologie an seine Grenzen stößt?
Wer sagt, dass diese Prognose zutreffen muss?

Nach 11nm (falls das überhaupt noch erreicht wird) müssen wir uns eben auf eine erheblich verlangsamte Entwicklung einstellen solange nichts völlig anderes gefunden wird.

Hier mal ein informatives Interview dazu: http://www.elektroniknet.de/home/bauelemente/news/n/d/halbleiter-ist-bei-11-nm-schluss/

Spasstiger
2009-10-24, 19:56:33
Von der Realisierung eines künstlichen Gehirns ist man imo noch Jahrzehnte entfernt. Wir schaffen es ja noch nichtmal, integrierte Schaltkreise wirklich dreidimensional zu gestalten und zu betreiben. Man hat bestenfalls mehrere Schichten oder mehrere gestapelte Dice, aber so einen richtigen Klotz wie das Gehirn bekommt man nicht hin. Das Gehirn hat 100- bis 1000-mal soviele Neuronen wie ein aktueller Mikroprozessor Transistoren, obwohl die Strukturen im Gehirn weit größer sind als in einem Prozessor und obwohl ein Neuron auch mehr kann als ein Transistor. Zusätzlich bezieht das Gehirn einen wesentlichen Anteil seiner Leistungsfähigkeit aus den unzähligen Synapsenverbindungen. Mit einer klassischen Prozessorarchitektur wird die Leistung hauptsächlich durch die kurzen Schaltzeiten erreicht, das Gehirn ist dagegen in höchstem Maße parallel und flexibel.
Über kurz oder lang wird man wohl wieder den bewährten Trick aufgreifen müssen und die Natur kopieren. Das Gehirn könnte durchaus ein Modell für die ferne Zukunft sein.

Gast
2009-10-25, 06:25:38
Man könnte sich ja erstmal über 16 nm unterhalten, bevor man von kleiner 5nm redet oder gleich den DrehImpuls einführen will.
Gibts da schon Informationen. Wie ich heute gelesen habe, war die 45nm Variante ein großer Schritt, wie seit den 60-Jahren nicht mehr.
High K-Gate oder sowas.

Ich fasse nur mal kurz zusammen: Du möchtest über etwas diskutieren, was noch ganz nicht klar ist. Du möchtest mehr Informationen haben, als Forscher besitzen.
Das geht halt nicht.

Vor ein paar Jahren sagte man noch, man käme bei 65 oder 45 nm etc. nicht weiter und heute werden bereits 40nm Prozesse verwendet und 32nm sind im Anmarsch. Die Leute wissen es ganz einfach nicht wie genau es weitergehen wird. Das sich erst in dem Monat entscheiden, wenn sich die großen Firmen nach einer Möglichkeit umsehen und darin Geld investieren.
Es gibt wie so häufig mehrere Wege zum Ziel, welcher der Richtige ist, das kann noch keiner sagen. Vor vielen Jahren war die Rede von Diamant-CPUs mit 100 GHz aufwärts. Davon hört man heute so gut wie gar nichts mehr, weil ein anderer Weg beschritten wurde.

Hier im Forum gibts schon seit 2001 regelmäßig die Diskussion wie es weitergehen wird und eigentlich war die Antwort immer die selbe: Bei so und so wird dann Schluß sein. ;D
Das dachte man mal, heute stellt sich raus, sie haben sich geirrt.

phil99
2009-10-25, 08:49:00
Hier ein sehr aktueller Artikel. EUV-Maschinen werden nächstes Jahr geliefert.
Ab 2012 fängt man dann mit 22nm NFlash Chips an, darunter würde es mit der normalen Belichtung nicht mehr gehen.
So einfach zu bündeln wie mit der alten Technik gehts aber nicht mehr. Die Technik ist jetzt gerade mal etwa auf dem Stand der alten Litographie und die hatte über 100nm im Gegensatz zu 15,x
Hier der Bericht
http://www.elektroniknet.de/home/bauelemente/news/n/d/euv-lithographie-kommt-2010/

Gipsel
2009-10-25, 11:47:56
Hier ein sehr aktueller Artikel. EUV-Maschinen werden nächstes Jahr geliefert.
Ab 2012 fängt man dann mit 22nm NFlash Chips an, darunter würde es mit der normalen Belichtung nicht mehr gehen.
So einfach zu bündeln wie mit der alten Technik gehts aber nicht mehr. Die Technik ist jetzt gerade mal etwa auf dem Stand der alten Litographie und die hatte über 100nm im Gegensatz zu 15,x
Hier der Bericht
http://www.elektroniknet.de/home/bauelemente/news/n/d/euv-lithographie-kommt-2010/
Das eine Maschine auf dem Markt ist, heißt noch lange nciht, daß sie auch gekauft wird. Soweit ich weiß, hat ASML schon seit einiger Zeit eine "Beta-Version" davon und die Ergebnisse damit sind eher sehr durchwachsen zu nennen. Es hat schon seinen Grund, warum z.B. Intel (ich glaube alle, die schon was haben verlauten lassen) auch für 22nm noch auf 193nm Belichter setzt.

Und wenn ich sowas lese:
Darüber hinaus muss der ganze Weg des Lichtes, von der Quelle bis zum Wafer, in einem Vakuum erfolgen. Das erklärt zum Teil auch die Dimensionen der Maschine, da etwa eine solche aufwendige Filterung der Luft, neue Bauteile erfordert.
Das ist einfach nur ;D
Für Vakuum benötigt man wirklich einen ganz speziellen Filter, der nennt sich Pumpe und läßt nichts in die Maschine, sondern holt möglichst viel heraus ;D

Dago
2009-10-26, 09:07:48
Das Menschliche Gehirn soll
10.000.000.000.000.000 Berechnungen Pro Sekunde können.
Hab gelesen das es im Jahr 2020 Nanochips diese Leistung erreichen werden und im Jahr 2030 soll so ein Chip 1 $ Kosten..
Wie soll das möglich sein wenn die Fertigungstechnologie an seine Grenzen stößt?

Wenn die Chips nicht mehr kleiner werden gibs auch keine Verdopplung der Leistung alle 2 Jahre.
Ich will 2050 ein Roboter Butler der die ganze Arbeit macht und nicht mehr als ein heutiger LCD Fernsehr Kostet;D

Solche Aussagen sind immer wieder lustig. Wie soll man etwas kopieren, das man kaum im Ansatz verstanden hat.
Auch dem Gehirn eine gewisse "Rechenleistung" zuzuschreiben ist absolut an den Haaren herbeigezogen. Dafür unterscheiden sich die Strukturen Rechner und Gehirn zu stark.
Aber der Mensch neigt ja zu Vereinfachungen, schon vor vielen Jahrzehnten gab es ähnliche Aussagen.
Vermutlich wird es tatsächlich darauf hinauslaufen, dass man mal wieder mehr oder weniger blind das Original aus der Natur kopiert und sich dann wundert, dass es tatsächlich funktioniert, so in 50 Jahren...

|-Sh0r7y-|
2009-10-26, 16:34:37
Das kommt übrigens aus "Geheimnisse des Universums" S01E12 - Auf der Suche nach E.T.

Finde es recht interessant.
Vielleicht stellen wir uns das Menschliche Gehirn einfach zu kompliziert vor und vielleicht ist genau das ja unser Problem.

Ich könbnte mir vorstellen das ein Flexibler Chip ohne Gruppen zuordnung, ohne Cluster und irgendwelchen aufteilungen im inneren das Geheimniss sein könnte.
Vielleicht ein Kern der einfach ordentlich Power hat und seine Hauptaufgabe fest im Kern integriert wurde.
Eine art Instinkt was weiss ich:rolleyes:
Bis jetzt sind die ganzen CPU´s ja nur schalt ein schalt aus spielchen. vielleicht muss die reaktion eines Super chips viel höher sein und darf nicht ständig auf befehle warten.

In momment Optimieren wir ja nur die Alte Technik, in zukunft müssen wir was finden das so Effektiv und so simpel ist das bis jetzt wie so oft noch keiner drauf gekommen ist.
Das Menschliche gehirn nährt sich ja von 2000kcal die wir in etwa zu uns nehmen am Tag, und leistet trotsdem wahre wunder.
Heutige CPUS verballern auch mal 200 Watt, das ist beeindruckend aber nicht sehr effektiv.