PDA

Archiv verlassen und diese Seite im Standarddesign anzeigen : Intel - Meteor Lake ("MTL", Raptor-Lake-Nachfolger, "Redwood Cove" CPU-Kerne, 4 nm, 2023)


Seiten : 1 [2] 3 4 5

ryan
2022-08-04, 18:46:15
Hast du dir das mal richtig durchgelesen bevor du das wieder klein schreibst?


Da ist nirgendwo von 3nm Problemen bei TSMC die Rede.


Die Rede in dem Artikel ist von TSMC 3nm, der ganze Artikel dreht sich um 3nm. Geht man von 3nm beim GPU tile aus macht das Sinn. Wenn jetzt aber Intel doch 4nm oder 5nm beim GPU tile nutzt, so wie es die letzten Gerüchte vermuten lassen, macht es kein Sinn. Weil dann würde es die 3nm output Pläne von TSMC doch so oder so treffen, egal ob sich MTL nun verschiebt oder nicht.

Zossel
2022-08-04, 21:49:23
Intel "Meteor Lake": Übernächste CPU-Generation angeblich deutlich verspätet (heise) (https://www.heise.de/news/Intel-Meteor-Lake-CPU-Generation-Core-i-14000-angeblich-auf-2024-verschoben-7202116.html)

Es scheint als hätte Intel nicht nur Probleme mit seiner Fertigung.

HOT
2022-08-05, 08:18:28
Die Rede in dem Artikel ist von TSMC 3nm, der ganze Artikel dreht sich um 3nm. Geht man von 3nm beim GPU tile aus macht das Sinn. Wenn jetzt aber Intel doch 4nm oder 5nm beim GPU tile nutzt, so wie es die letzten Gerüchte vermuten lassen, macht es kein Sinn. Weil dann würde es die 3nm output Pläne von TSMC doch so oder so treffen, egal ob sich MTL nun verschiebt oder nicht.
Intel nutzt für die GPU N3. Wenn das nicht klappt ist Intel aufgeschmissen, weil sie keine andere GPU haben. Eine N5-GPU müsste man erst mal designen, das würde wahrscheinlich genauso lange dauern wie das Ding in N3e aufzulegen. Ich hab so den Eindruck dass das ne Ausrede für Intel ist MTL auf 24 zu verschieben, da wird sicherlich nicht nur die GPU das Problem gewesen sein, sonst hätte man diese in schlechten Yields einfach ertragen, bis N3e fertig ist. Die Gerüchte, dass Intel die GPUs gerne verschieben möchte gibts ja schon seit Wochen, da hieß es aber, dass es Probleme mit der CPU gibt. Also wird beides irgendwie wahr sein. Es ist mal wieder eine viel zu ehrgeizige Planung gewesen, die voll nach hinten losgegangen ist. Damit verschiebt sich MTL fast bis zu Zen5.

Linmoum
2022-08-05, 09:30:53
TrendForce's Intel Meteor Lake report seems to have caught the company's attention. Intel's PR firm sent out an unsolicited note this afternoon reiterating that Meteor Lake will be delivered in 2023, and manufacturing remains on schedule

https://mobile.twitter.com/RyanSmithAT/status/1555297656183762944

Also dass man noch taggleich und nur wenige Stunden nach einem Gerücht schon entsprechend reagiert ist schon arg merkwürdig. Aber gut, theoretisch können sie ja auch bis Q2 behaupten, MTL kommt pünktlich, bis es doch unvorhersehbare Probleme gab. ;D

Piefkee
2022-08-05, 11:00:20
https://twitter.com/OneRaichu/status/1555426912260202497

According to my source, I just to say Meteor lake should not have any N3 parts.��
It should be the story of Lunar and arrow.

Hier glaube ich steckt das Problem begraben. Für mich immernoch schwer vorstellbar, dass Intel eine Generation vor AMD und Nvidia N3 ins Feld bringt. MTL iGPU Tile glaube ich ist nicht auf N3 sondern irgendwas aus dem (N5 Baukasten). Dafür ist MTL einfach zu früh dran und N3 zu Teuer.

Platos
2022-08-05, 13:15:24
TrendForce's Intel Meteor Lake report seems to have caught the company's attention. Intel's PR firm sent out an unsolicited note this afternoon reiterating that Meteor Lake will be delivered in 2023, and manufacturing remains on schedule

https://mobile.twitter.com/RyanSmithAT/status/1555297656183762944

Also dass man noch taggleich und nur wenige Stunden nach einem Gerücht schon entsprechend reagiert ist schon arg merkwürdig. Aber gut, theoretisch können sie ja auch bis Q2 behaupten, MTL kommt pünktlich, bis es doch unvorhersehbare Probleme gab. ;D

Was soll daran Merkwürdig sein? Gleich mit Gerüchten aufräumen, bevor sie aufkommen. Wenn man sieht, wie viel Leute auf Gerüchte geben, hätte ich das auch so gemacht (obs nun stimmt oder nicht).

Wie traurig das bei manchen Leuten und Gerüchten läuft, sieht man im Ada-Thread.

ryan
2022-08-05, 13:17:34
TrendForce's Intel Meteor Lake report seems to have caught the company's attention. Intel's PR firm sent out an unsolicited note this afternoon reiterating that Meteor Lake will be delivered in 2023, and manufacturing remains on schedule

https://mobile.twitter.com/RyanSmithAT/status/1555297656183762944

Also dass man noch taggleich und nur wenige Stunden nach einem Gerücht schon entsprechend reagiert ist schon arg merkwürdig. Aber gut, theoretisch können sie ja auch bis Q2 behaupten, MTL kommt pünktlich, bis es doch unvorhersehbare Probleme gab. ;D



War es damals auch merkwürdig, als Intel die "kein 10nm für Desktop" Gerüchte widersprochen hat? Nicht das sich Meteor Lake verschieben kann, aber der Bericht macht wenig Sinn auf den 3nm Output von TSMC bezogen, wenn MTL kein 3nm verwendet, wonach es stark aussieht.

dildo4u
2022-08-05, 13:34:07
Ich sehe nicht wofür man 2023 3nm braucht, IGP können nicht unverhältnismäßig groß werden so lange Mann nicht die nötige Bandbreite hat.
Im nächsten Schritt bringt Intel erstmal IGP ohne on Die Cache genau wie AMD bei RDNA2 IGP.

Platos
2022-08-05, 13:45:41
Vlt. gehts da eher um Apple und ihre Laptops. Es arbeiten immer mehr grosse an eigenen Prozessoren/SoCs und Laptops sind nunmal wirklich eine der wichtigsten Sparten von Intel. Und eigentlich ist da Intel momentan genau der schlechteste Anbieter. AMD hat da keine Chiplets und ist bei der Architektur anscheinend einfach viel weiter, wenn man sich die Energieeffizienz ansieht.

ryan
2022-08-05, 14:50:22
Vlt. gehts da eher um Apple und ihre Laptops. Es arbeiten immer mehr grosse an eigenen Prozessoren/SoCs und Laptops sind nunmal wirklich eine der wichtigsten Sparten von Intel. Und eigentlich ist da Intel momentan genau der schlechteste Anbieter. AMD hat da keine Chiplets und ist bei der Architektur anscheinend einfach viel weiter, wenn man sich die Energieeffizienz ansieht.


Oder Arrow Lake. Der war tatsächlich für TSMC 3nm (https://twitter.com/AdoredTV/status/1496217229922291715/photo/1) eingeplant in 2023. Das würde passen.

Denniss
2022-08-05, 19:48:28
Intel braucht nur am 31.12.23 eine CPU an einen OEM zu liefern und schon ist MTL = 2023 korrekt.

Piefkee
2022-08-18, 23:24:37
https://www.semiaccurate.com/2022/08/18/did-intel-delay-meteor-lake/

MTL nutzt kein N3 für das GPU Tile sondern N5.

Macht durchaus Sinn, Intel wäre für N3 mit MTL viel zu früh dran…

w0mbat
2022-08-19, 12:48:55
Was? N3 Ende 2023 ist sicher nicht zu früh.

Piefkee
2022-08-19, 21:50:36
Gleiche Zeitraum wie der iPhone launch mit N3, und das für eine notebook IGPU?

Klar Apple kann sich das leisten aber nicht die OEM die wollen <100€ für ihre APUs…

Platos
2022-08-21, 16:32:39
Meteor Lake ist doch auch Dekstop? Oder habe ich was verpasst/vergessen?

ryan
2022-08-21, 17:00:59
Meteor Lake soll es auch für Desktop geben. Die Gerüchteküche geht allerdings von lowend-midrange Modellen aus, während Arrow Lake das highend bedient.

HOT
2022-08-21, 17:31:00
Ist denke ich Unsinn, so schnell wird 20A wohl kaum verfügbar sein. Auch die Intel-Folien gehen eher von Tick MTL und Tock ARL aus.
MTL wird wohl ne ganze Generation für Desktop und Mobile.

ryan
2022-08-21, 19:55:56
Ist denke ich Unsinn, so schnell wird 20A wohl kaum verfügbar sein. Auch die Intel-Folien gehen eher von Tick MTL und Tock ARL aus.
MTL wird wohl ne ganze Generation für Desktop und Mobile.


Den Gerüchten nach geht Intel auf TSMC 3nm bei Arrow Lake.

Zossel
2022-08-21, 19:58:51
Den Gerüchten nach geht Intel auf TSMC 3nm bei Arrow Lake.

Und womit will Intel seine Fertigung auslasten?

ryan
2022-08-21, 20:09:19
Und womit will Intel seine Fertigung auslasten?


Intel 10 wird noch lange für Alder Lake und Raptor Lake gebraucht. Intel 4 können sie mit Meteor Lake-M/U/H/S auslasten, Intel 3 ist bekanntlich server only und Intel 20A muss erstmal fertig werden, bevor es überhaupt ausgelastet werden kann. Durch die chiplets gibt es noch andere Möglichkeiten der Auslastung, das muss ja nicht alles für das Compute Chiplet sein.

ChaosTM
2022-08-21, 20:44:13
Funktioniert 4 .. ?

iamthebear
2022-08-21, 22:39:13
Die Frage wird eher sein: Bekommt Intel überhaupt genug EUV Kapazität zusammen für MTL, Server und ARL.

dildo4u
2022-08-22, 05:05:08
Je länger AMD bei Server die Spitze hat desto weniger feste Server Kunden hat Intel, kann gut sein das diese Monster Chips nicht viel Platz einnehmen.
Das größte was sie in Masse selber fertigen scheinen immer noch Konsumer CPU Tiles zu sein.

KarlKastor
2022-08-22, 07:05:06
Mit dem Chiplet Ansatz von Meteorlake wird zumindest der Flächenbedarf minimiert. Nur noch das Compute Chiplet wird bei Intel im modernsten Prozess gefertigt. Dürfte die benötigte Kapazität nicht unerheblich senken.

HOT
2022-08-22, 08:02:19
Den Gerüchten nach geht Intel auf TSMC 3nm bei Arrow Lake.
Das stammt sicherlich aus Seiffert-Zeiten, aber die Folien zeigen ganz klar 20A für ARL.

Ich würd da eher einen MTL-Refresh sehen, wenn ARL über das Jahr 24 hinaus nicht verfügbar wird.

Linmoum
2022-08-22, 09:21:46
Die Folien zeigen unter anderem 20A. Nicht nur.

https://cdn.videocardz.com/1/2022/05/Intel-Meteor-Arrow-Lake-Hero-Banner-1200x431.jpg

Platos
2022-08-22, 11:02:20
Ist doch schon lange der Stand, dass Intel den GPU Teil bei TSMC fertigen lässt. Aber sicher nicht den CPU Teil.

HOT
2022-08-22, 11:10:37
Die Folien zeigen unter anderem 20A. Nicht nur.

https://cdn.videocardz.com/1/2022/05/Intel-Meteor-Arrow-Lake-Hero-Banner-1200x431.jpg

Hm, meinst die streichen irgenwann 20A einfach aus der Folie und ARL ist ganz normal Intel4? Das wäre dann einfach als Marketingstunt zu verbuchen...

davidzo
2022-08-22, 14:08:56
Funktioniert 4 .. ?

Ja, ist bereits in Produkten verfügbar: https://www.anandtech.com/show/16960/intel-loihi-2-intel-4nm-4

Intel4 scheint für Intel Verhältnisse ein sehr konservativer aber auch kurzlebiger Prozess zu sein.
Fast alles was wir früher über Intels 7nm EUV bzw. Intel4 gehört haben scheint zu Intel3 gepusht worden zu sein.
- Weniger praktische Density als TSMC N5 (71Mtr/mm2 bei Loihi2)
- Nur ein 0.73x shrink von N7 (0.83x0.88), soll aber durch library-Verbesserungen bis zu 0.49 betragen wenn man 8VT vs 6VT zellen vergleicht.
- die 8VT Zellen erlauben 21% mehr performance oder 40% weniger Power bei Baseclock. Bei der Density vergleicht Intel aber idie alten 8Vt mit den neuen intel4 6VT Zellen.
- Im boost sind es nur noch ca. 500mhz mehr Clock (3Ghz vs 3,5Ghz), was über 4Ghz passiert weiß man nicht.
- Es gibt nur HP Zellen, sowohl 6Vt welche Intel für die Density-vergleiche nimmt, als auch 8VT Zellen wie vorher.
- Die Density claims sind mal wieder typisches Intel kreatives Marketing: Es gibt eine laborversion wo der Prozess toll skaliert und eine Version für richtige Produkte die viel gröber ist: MTL shrinkt nur um ca. 25% gegenüber Alderlake und das ohne große Architekturveränderungen. Es kommen also wohl die 8VT Zellen zum Einsatz deren scaling Intel nicht näher beschreibt (wird bei ca. 30-40% liegen).
- Echte HD libraries oder wenigstens Medium density kommen später.

Der Prozess reicht gerade mal für den MTL CPU DIE. Mehr kann man damit nicht machen. Analog Libraries für i/o fehlen, HD Libraries für die GPU und Mediablock fehlen, SRAM Scaling ist eher schlecht (0.77x).

Die effektive Density wird bei MTL etwas höher sein gegenüber Loihi2, um die 100Mtr/mm2, weil bei letzterem deutlich mehr SRAM dabei ist als MTL an Cache hat.

Die Libraries um daraus einen kompletten Node zu machen werden ein Jahr später mit Intel3 nachgereicht.
Intel4 wird auch nicht als Foundry-Prozess angeboten, das ist erst mit Intel3 der Fall.

Hm, meinst die streichen irgenwann 20A einfach aus der Folie und ARL ist ganz normal Intel4? Das wäre dann einfach als Marketingstunt zu verbuchen...

Arrowlake ist zuerst einmal Intel 3. ARL (6P 8E GT3), ein Halo ultramobile kommt auch in Intel 3, bzw. ist sogar das N3 Pilotvehikel ist, vor Granite Rapids.

Letzerer braucht dringend die neuen HD Libraries um mehr von den gigantischen P-Cores unter zu bekommen und den verbrauch von SR im Zaum zu halten.

Ich würde davon ausgehen dass sich an den HP libraries von Intel3 auch nicht sehr viel ändert, es ist praktisch derselbe prozess, nur dann eben mit kompletten Libraries, was die Density und Flexibilität erhöhen wird.

Aber denn N4 schon wieder ein mobile Prozess wird, was ist dann mit dem Desktop, wann bekommt der einen Ersatz für Raptorlake?

Es klingt für mich nicht so als würden intel4 und intel3 bei Taktraten zwischen 5 und 6Ghz und 250W+ TDP irgendwelche Benefits gegenüber Intel7 bieten. Da hören intels Labordiagramme schon auf und gehen vorher steil nach oben. Wer weiß ob überhaupt Mehrtakt möglich wäre verglichen zu dem High Power 10nm Prozess in mittlerweile 5ter Generation.

Das erste wirklich interessante Prozessfeature für den Desktop kommt erst mit intel 20A: Power Via. Durch Backside Power delivery ließe sich die Megahurts-Maddness die Intel im Desktop betreibt nochmal auf die Spitze treiben.
Arrowlake soll dann ja auch im Desktop auf 20A setzen.

mboeller
2022-08-22, 18:29:52
Meteor-Lake ist anscheinend ein Mix aus TSMC 6N, 5N, Intel 22nm und Intel-4

https://twitter.com/SkyJuice60/status/1561741175551168518?cxt=HHwWjMCg_ePUtawrAAAA

aus dem Thread:

Compute tile - Intel 4
I/O tile - TSMC 6N
SOC Tile - TSMC 6N
GPU Tile - TSMC 5N
Base Tile - Intel 22nm

Blediator16
2022-08-22, 18:44:43
Hört sich für mich nach einem Alptraum an.

Platos
2022-08-22, 19:40:37
Was bedeutet denn Base Tile und SOC Tile ? SoC ist doch das ganze zusammen?

Hört sich für mich nach einem Alptraum an.

Warum, wo ist das Problem?

Blediator16
2022-08-22, 19:58:35
4 verschiedene process nodes 2 davon außerhalb von Intel selbst. Bankrotterklärung eigener Fertigung.

ryan
2022-08-22, 20:12:41
https://www.tomshardware.com/news/intel-details-3d-chip-packaging-tech-for-meteor-lake-arrow-lake-and-lunar-lake


https://cdn.mos.cms.futurecdn.net/MzSCXS6wm7kBMF9nXvBGRY-970-80.png.webp
https://twitter.com/OneRaichu/status/1561752492052197378


Raichu hat es schon wieder gewusst.

Interessant ist noch zu erwähnen, dass Intel bei MTL und ARL auf Foveros setzt. Die Generation danach setzt auf Universal Chiplet Interconnect Express.

bbott
2022-08-22, 20:37:47
Hört sich für mich nach einem Alptraum an.
4 verschiedene process nodes 2 davon außerhalb von Intel selbst. Bankrotterklärung eigener Fertigung.
Beides beschreibt es sehr schön. Wenn es bei nur einer Fertigung harkt, hängt das ganze Produkt in der Luft. Bei der Zuverlässigkeit der Intel Fertigung schreit das doch schon jetzt nach Verspätung hoch fünf.

BavarianRealist
2022-08-22, 20:43:06
Das sieht irgendwie recht komplex aus...jedenfalls nicht nach billig. MTL ist dann wohl eher nur Highend-Notebook?

HOT
2022-08-22, 21:05:19
2+8 sieht mir doch eher nach einem günstigen Produkt aus.

davidzo
wirklich sehr interessant. Also schätzt du ARL dann auf Intel3 ein?

Damit müsste ARL dann wie MTL aufgebaut sein, nur mit dem Unterschied, dass das Compute-Die Intel3 ist und die GPU N3 wäre.

Die Folien zeigen unter anderem 20A. Nicht nur.

https://cdn.videocardz.com/1/2022/05/Intel-Meteor-Arrow-Lake-Hero-Banner-1200x431.jpg

Die Folie kannste direkt schon mal in die Tonne treten, da die GPU ja offensichtlich schon mal N5 ist, nicht N3. Da hat das Marketing nicht gewusst, was da wirklich kommt. Intel unterstelle ich sogar bewusste Irreführung.

davidzo
2022-08-22, 21:11:06
Die Desktopversion wird einfacher.
- Kein TB4 i/o DIE
- größeres 8(12?)P+16E (?) CPU tile
- womöglich keine GPU. zumindest deutet das socket Schaubild daraufhin. Da sind nur zwei DIEs unter dem IHS und eines ist genau so lang wie zwei MTL-6P+8E DIEs und das SOC Die ist genau wie bei der mobile Variante etwas breiter.
- Sockel ist mechanisch identisch zu ADL, hat nur ein paar zusätzliche Pinreihen.

Die Gerüchte um LGA2551 sind Bullshit. Das ist ein eindeutiges Mobile Package, also BGA, kein LGA.

https://www.forum-3dcenter.org/vbulletin/attachment.php?attachmentid=80294&stc=1&d=1661195455

HOT
2022-08-22, 21:15:46
MTL würde ich sagen 8+16 wie RPL, bei ARL soll ja nach Uraltgerüchten 8+32 werden. kann natürlich auch 12+16 werden, ist genauso groß und finde ich erheblich sinnvoller :D.
Das Ganze wird offenbar von Lunar Lake nach unten hin abgerundet. Sowohl ARL als auch Lunar Lake sagt man Lion Cove nach, wohl beide Intel3. 20A/18A wird mMn was für nach Sockel 1851.

https://www.3dcenter.org/news/hardware-und-nachrichten-links-des-1112-september-2021
Diese uralte Liste scheint gradezu prophetisch gewesen sein, außer das LL nicht 16. Gen ist sondern auch 15.

Platos
2022-08-22, 21:49:06
4 verschiedene process nodes 2 davon außerhalb von Intel selbst. Bankrotterklärung eigener Fertigung.

Es sind sogar 5 Tiles, 3 davon bei TSMC gefertigt.

Aber im Gegenteil, es ist ein erheblich krasser Vorteil für Intel, dass sie ab Meteorlake so viele verschiedene Tiles in so vielen verschiedenen Fertigungen fertigen können. Damit wird Intel bei der Liefferbarkeit/Volumen einen extremen Vorteil haben.

Während dem AMD nur TSMC hat, kann Intel fröhlich 4 verschiedene Prozesse nutzen und hat somit eine deutlich weniger hohe Abhängigkeit (als AMD) und zusätzlich kann Intel somit das eigene Volumen massiv steigern (ohne aber selber extrem ausbauen zu müssen). So bleibt man ziemlich flexibel.

Ich sage Meteorlake mal eine sehr gute Verfügbarkeit voraus, was auch ermöglicht, dass man schnell ein grosses Portfolio abdecken kann und somit den Markt. Nicht so wie AMD mit Zen3 und ihren überteuerten Modellen, die erst über ein Jahr später erweitert wurden.

Intel hat sich eher einen sehr grossen Gefallen getan mit 3D Foveros.

Blediator16
2022-08-22, 23:07:56
Es sind sogar 5 Tiles, 3 davon bei TSMC gefertigt.

Aber im Gegenteil, es ist ein erheblich krasser Vorteil für Intel, dass sie ab Meteorlake so viele verschiedene Tiles in so vielen verschiedenen Fertigungen fertigen können. Damit wird Intel bei der Liefferbarkeit/Volumen einen extremen Vorteil haben.

Während dem AMD nur TSMC hat, kann Intel fröhlich 4 verschiedene Prozesse nutzen und hat somit eine deutlich weniger hohe Abhängigkeit (als AMD) und zusätzlich kann Intel somit das eigene Volumen massiv steigern (ohne aber selber extrem ausbauen zu müssen). So bleibt man ziemlich flexibel.

Ich sage Meteorlake mal eine sehr gute Verfügbarkeit voraus, was auch ermöglicht, dass man schnell ein grosses Portfolio abdecken kann und somit den Markt. Nicht so wie AMD mit Zen3 und ihren überteuerten Modellen, die erst über ein Jahr später erweitert wurden.

Intel hat sich eher einen sehr grossen Gefallen getan mit 3D Foveros.

Du bist ja echt optimistisch :biggrin:
AMD wird sicherlich auch bei Samsung fertigen lassen demnächst.

ryan
2022-08-22, 23:34:31
MTL würde ich sagen 8+16 wie RPL, bei ARL soll ja nach Uraltgerüchten 8+32 werden. kann natürlich auch 12+16 werden, ist genauso groß und finde ich erheblich sinnvoller :D.
Das Ganze wird offenbar von Lunar Lake nach unten hin abgerundet. Sowohl ARL als auch Lunar Lake sagt man Lion Cove nach, wohl beide Intel3. 20A/18A wird mMn was für nach Sockel 1851.

https://www.3dcenter.org/news/hardware-und-nachrichten-links-des-1112-september-2021
Diese uralte Liste scheint gradezu prophetisch gewesen sein, außer das LL nicht 16. Gen ist sondern auch 15.



Ich glaube eher es bleibt bei 6+8 für den Desktop. Erstens hat Intel nur 2+8 und 6+8 MTL gezeigt, zweitens würde es mit den Gerüchten zusammenpassen, das MTL-S nur als lowend-midrange Variante kommt im Desktop. Intel 3 ist server only, das kommt nicht für Client. Für ARL kommt entweder TSMC 3nm oder Intel 20A infrage.

iamthebear
2022-08-23, 01:05:40
- die 8VT Zellen erlauben 21% mehr performance oder 40% weniger Power bei Baseclock. Bei der Density vergleicht Intel aber idie alten 8Vt mit den neuen intel4 6VT Zellen.

Wenn dem wirklich so wäre, dann benötigt man gar keine Density Verbesserungen. Dann legt man wie bei Zen4 einfach einen gleich großen Die mit 20% mehr Takt auf und hat seinen üblichen Generationssprung von 20%. Vielleicht kann man noch ein paar Prozent mit ein paar kleinen Architekturverbesserungen oder höherem DDR5 Support mitnehmen.

Was mich nur etwas stutzig macht:
MLID hat von 20% mehr IPC berichtet, dafür sollen die Taktraten sogar um 10% fallen (auf ADL Niveau). Das macht für mich wenig Sinn.

- Im boost sind es nur noch ca. 500mhz mehr Clock (3Ghz vs 3,5Ghz), was über 4Ghz passiert weiß man nicht.

Das ist ein "Industry Standard Core". Das bedeutet eines der aktuellen ARM Designs. Das ist eine ganz andere Architektur und 3.7GHz hören sich für mich verdammt viel an wenn selbst TSMC nur knapp über 3GHz packt.

- Es gibt nur HP Zellen, sowohl 6Vt welche Intel für die Density-vergleiche nimmt, als auch 8VT Zellen wie vorher.

Wenn die Angaben stimmen würden dann würde mich das nicht weiter stören da die 6VT Variante sich ja angeblich immer noch höher takten lässt.

SRAM Scaling ist eher schlecht (0.77x)

Bei TSMC N5 vs. N7 sind es auch nur 1.3x also in etwa gleich.

Die effektive Density wird bei MTL etwas höher sein gegenüber Loihi2, um die 100Mtr/mm2, weil bei letzterem deutlich mehr SRAM dabei ist als MTL an Cache hat.

Auch wenn SRAM momentan nicht so gut skaliert ist dienTransistordichte bei SRAM immer noch höher als bei Logic.

Arrowlake ist zuerst einmal Intel 3. ARL (6P 8E GT3), ein Halo ultramobile kommt auch in Intel 3, bzw. ist sogar das N3 Pilotvehikel ist, vor Granite Rapids.

Gibt es da Quellen? Nach meinen Informationen ist ARL CCD 20A also schon RibbonFET.
Die "3nm" von ARL beziehen sich afaik nur auf den GPU Die.

Aber denn N4 schon wieder ein mobile Prozess wird, was ist dann mit dem Desktop, wann bekommt der einen Ersatz für Raptorlake?

Also mein Kenntnisstand ist:
2023: MTL mobile
2024: MTL desktop (125W), ARL (womöglich nur mobile)
2025: Eventuell ARL desktop

Allerdings glaube ich sowieso nicht, dass die Termine halten speziell 20A.

Auch ist fraglich ob ARL überhaupt lange am Markt sein wird wenn gleich LNL nachkommt.

Platos
2022-08-23, 01:33:48
Also laut dieser News soll Meteorlake und Arrow lake für Desktop und Mobile kommen, wobei Lunarlake nur für Mobile kommt (wenn ich das richtig verstehe aus dem Englischen).

Meteor Lake and Arrow Lake chips will scale to meet the needs of the mobile and desktop PC market, whereas Lunar Lake will serve the mobile 15W and under market.

https://www.forum-3dcenter.org/vbulletin/showpost.php?p=13087586&postcount=2

https://www.tomshardware.com/news/intel-details-3d-chip-packaging-tech-for-meteor-lake-arrow-lake-and-lunar-lake

HOT
2022-08-23, 08:17:09
LNL soll speziell für den sub 15W Mobilmarkt sein und Intel3 werden, zudem mit lion Cove ausgestattet sein, wie ARL. 20A bei ARL ist total unrealistisch. Lion Cove sieht für mich doch stark nach Intels Intel3 Architektur aus, die es auch in Emerald Rapids schaffen sollte.

davidzo
2022-08-23, 08:54:26
Ich glaube eher es bleibt bei 6+8 für den Desktop. Erstens hat Intel nur 2+8 und 6+8 MTL gezeigt, zweitens würde es mit den Gerüchten zusammenpassen, das MTL-S nur als lowend-midrange Variante kommt im Desktop.

Das wäre eine plausible Erklärung. MTL maximal bis i5, dann müsste aber ARL recht früh mit i7s nachlegen.


Wenn dem wirklich so wäre, dann benötigt man gar keine Density Verbesserungen. Dann legt man wie bei Zen4 einfach einen gleich großen Die mit 20% mehr Takt auf und hat seinen üblichen Generationssprung von 20%. Vielleicht kann man noch ein paar Prozent mit ein paar kleinen Architekturverbesserungen oder höherem DDR5 Support mitnehmen.

Das befürchte ich ist genau was passiert. Man baut den Mobile-DIE mit 8VT zellen weil nur so die 20% Taktsprung möglich sind. Dazu dann 10% IPC oder so und schon hat man einen erfolgreichen Generationensprung.


Was mich nur etwas stutzig macht:
MLID hat von 20% mehr IPC berichtet, dafür sollen die Taktraten sogar um 10% fallen (auf ADL Niveau). Das macht für mich wenig Sinn.

MLID spekuliert. Ich wüsste nicht wo die zusätzliche IPC herkommen soll wenn die Kerne doch im wesentlichen Golden Cove ähnlich bleiben. L1 von 32kb auf 48kb vielleicht, aber abseits davon sehe ich nicht wo das Silizium herkommen soll für IPC Verbessernungen.
MTL ist ein Tick, keine Tock Architektur. Da fährt man auf minimalem Risiko bei der Architektur und holt die Mehrperformance über die Fertigung, bzw. höchstens noch mehr Cache (was bei MTL nicht der Fall ist, der E-Core Cache schrumpft sogar).



Wenn die Angaben stimmen würden dann würde mich das nicht weiter stören da die 6VT Variante sich ja angeblich immer noch höher takten lässt.

Naja, ADL nutzt im kritischen Bereich UHP Zellen, also Ultra high performance. Die gibt es in intel4 nicht, nur HP. Und die V/F Grafik zeigt eine Kurve mit stark abnehmendem Grenzertrag oberhalb von 3,5Ghz. Wir wissen nicht we die weitergeht, die könnte sich auch durchaus bei 5Ghz mit der von intel7 kreuzen.


Gibt es da Quellen? Nach meinen Informationen ist ARL CCD 20A also schon RibbonFET.
Die "3nm" von ARL beziehen sich afaik nur auf den GPU Die.


Ja, es gibt eine sehr glaubwürdige interne roadmapfolie die ein ARL 6+8+GT3 Halo Produkt zeigt. Und CPU-68 ist definitiv der 6P +8E CPU Die, keine GPU.

https://videocardz.com/newz/intel-arrow-lake-p-with-320eu-gpu-confirmed-by-a-leaked-roadmap-targeting-to-compete-with-apple-14-premium-laptops


LNL soll speziell für den sub 15W Mobilmarkt sein und Intel3 werden, zudem mit lion Cove ausgestattet sein, wie ARL. 20A bei ARL ist total unrealistisch. Lion Cove sieht für mich doch stark nach Intels Intel3 Architektur aus, die es auch in Emerald Rapids schaffen sollte.
Es steht aber nunmal auf der Roadmap dass es mindestens ein ARL produkt auch mit 20A Chiplets geben wird. Das wird Investorenklagen nach sich ziehen wenn dem gar nicht so ist.

HOT
2022-08-23, 10:28:32
Da gibts nix zu klagen, da diese Roadmaps nicht verbindlich sind ;). Ich wette, das 20A wird demnächst einfach verschwinden bei der nächsten Roadmap.

In deiner Quelle ist ARL "CPU 68" noch mit N3 vermerkt ;).

MSABK
2022-08-23, 10:43:26
Ihr schreibt ja, dass Intel bei der Verfügbarkeit Vorteile haben könnte mit so vielen verschiedenen Fertigungen. Aber kühlt der Markt nicht ab und somit sollte sich auch bei TSMC die Lage entspannen und somit auch für AMD?

dildo4u
2022-08-23, 10:59:11
Apple nutzt seit 2020 5nm für M1, diese Chips sind deutlich größer als die Tiles auf Meteor Lake.
Das ist das gute Apple fertigt jetzt gleich oder größere Chips als AMD und Intel.
Wenn Intel 2023 nicht liefern kann liegt es imo an der eigenen Fertigung.

HOT
2022-08-23, 11:32:02
Das seh ich auch so. Oder es gibt schlichtweg zuviele Fehler im Konstrukt, wie bei SR.

Um das mal einzuordnen müsste das folgendermaßen aussehen:

Golden Cove -> ADL, SR (Intel7) (Ende 21, Sapphire Rapids nicht vor 23)
Raptor Cove -> RPL, ER (Intel7) (Ende 22, Emerald Rapids frühestens Ende 23)
Redwood Cove -> MTL, Ruby Rapids(?) (Intel4) (Ende 23)
Lion Cove -> ARL, GR, LNL (Intel3 oder 20A) (Ende 24, Granite Rapids nicht vor Ende 24)
Panther Cove -> NVL, DR (20A oder 18A)

LNL könnte ein Art Prototyp für das Openchiplet Ecosystem (UCIe) sein.

ryan
2022-08-23, 16:23:56
Ja, es gibt eine sehr glaubwürdige interne roadmapfolie die ein ARL 6+8+GT3 Halo Produkt zeigt. Und CPU-68 ist definitiv der 6P +8E CPU Die, keine GPU.

https://videocardz.com/newz/intel-arrow-lake-p-with-320eu-gpu-confirmed-by-a-leaked-roadmap-targeting-to-compete-with-apple-14-premium-laptops



Es wird sogar die CPU Architektur genannt.

Introduces LNC+SKT on N3 --> Lion Cove+Skymont

Die iGPU bleibt ja wohl bei 3nm. Bei der CPU könnte es ein Wechsel auf 20A gegeben haben. Generell scheint die Architektur auf TSMC 3nm übertragbar zu sein.

ryan
2022-08-23, 17:11:34
LNL könnte ein Art Prototyp für das Openchiplet Ecosystem (UCIe) sein.


LNL ist Foveros.


Meteor Lake, Arrow Lake and Lunar Lake processors will transform personal computers with tile-based chip designs that create efficiencies in manufacturing, power and performance. This is done through discrete CPU, GPU, SoC and I/O tiles stacked in 3D configurations using Intel’s Foveros interconnect technology.
https://www.intel.com/content/www/us/en/newsroom/news/hot-chips-34-new-era-chipmaking.html#gs.9gexsb
https://twitter.com/witeken/status/1562092833577762819/photo/1

davidzo
2022-08-23, 17:42:31
LNL ist Foveros.


https://www.intel.com/content/www/us/en/newsroom/news/hot-chips-34-new-era-chipmaking.html#gs.9gexsb
https://twitter.com/witeken/status/1562092833577762819/photo/1

Widerspricht sich nicht. UCIe ist kein packaging prozess wie Foveros, sondern eine elektrische Spezifikation sowie Protokolldefinitionen die sowohl mit COWOS-L, FoCos B, EMIB, Foveros etc. mit unterschiedlichem Pitch verwendet werden können.

UCIe ist der Ersatz für Intel UIB und AIB, nicht für Foveros.

ryan
2022-08-23, 21:02:38
Wccftech (https://wccftech.com/intel-lego-like-chiplet-design-for-next-gen-meteor-lake-arrow-lake-lunar-lake-3d-foveros-cpus-detailed/) hat den kompletten Foliensatz. Ein paar Auserwählte:


https://abload.de/img/1oncnt.png

https://abload.de/img/2q2db8.png

https://abload.de/img/3z3ii9.png

https://abload.de/img/4p3eeg.png

https://abload.de/img/58ldbz.png

https://abload.de/img/6gqiza.png

https://abload.de/img/7jnfg1.png

https://abload.de/img/8q6is8.png

https://abload.de/img/9cscw1.png

y33H@
2022-08-23, 21:03:38
Es ist schlechter Stil die Slides VOR der Session zu publizieren ...

ryan
2022-08-23, 21:15:20
Ich hatte mich schon gewundert, warum die nur bei wccftech zu sehen sind.

Core count scalability geht bis 6+8. Wenn es wirklich eine größere Kernanzahl geben würde für desktop, hätte Intel das in der scalability mit einbezogen.

HOT
2022-08-23, 21:34:18
Vielleicht geht das doch wieder nur monolithisch, weil die Ströme bei 240W zu groß werden für Foveros.

Intel bezeichnet 20A übrigens als 5nm EUV. Kein Wort mehr von Intel3.

ceed
2022-08-23, 21:37:11
Core count scalability geht bis 6+8. Wenn es wirklich eine größere Kernanzahl geben würde für desktop, hätte Intel das in der scalability mit einbezogen.

Die gehen doch nicht von 8+16 auf 6+8 in der nächsten Generation. Nie im Leben.

ryan
2022-08-23, 21:44:56
Vielleicht geht das doch wieder nur monolithisch, weil die Ströme bei 240W zu groß werden für Foveros.

Intel bezeichnet 20A übrigens als 5nm EUV. Kein Wort mehr von Intel3.


Intel 3 ist kein Thema für MTL, ARL und Client insgesamt, warum sollten sie das erwähnen? Wo bezeichnet Intel 20A als 5nm EUV?



Die gehen doch nicht von 8+16 auf 6+8 in der nächsten Generation. Nie im Leben.



Gehen sie ja auch nicht, weil 8+16 von Arrow Lake abgelöst wird. Der alte reddit Leak sprach von einem 8+32 highend tile. Meteor Lake löst höchstens die i5 von Raptor Lake ab, die bei 6+4/6+8 liegen.

ceed
2022-08-23, 21:54:07
Gehen sie ja auch nicht, weil 8+16 von Arrow Lake abgelöst wird. Der alte reddit Leak sprach von einem 8+32 highend tile. Meteor Lake löst höchstens die i5 von Raptor Lake ab, die bei 6+4/6+8 liegen.

Schon oft so ähnlich gelesen, aber das will ich nicht glauben. Neuer Node nur für ne Midrange CPU...? Das gab es imho auch noch nie. Dann schon eher mobile only wie vielleicht Tiger Lake. Aber selbst da sind 6+8 nicht wirklich viel. Macht für mich keinen Sinn so richtig.

Platos
2022-08-23, 21:58:17
Weiss jemand, ob es ne Art Roadmap gibt für Foveros Omni und Foveros Direct? Also gibt es da Informationen dazu, in welchen Generationen/Jahren das eingesetzt werden soll?

Eigentlich ist ja schon das "basic" Foveros super energieeffizient, aber dennoch interessant. Foveros direct ist da nochmals ne andere Liga.

davidzo
2022-08-23, 22:04:23
Damit ist dann auch klar wieso der GPU-DIE bisher so winzig war.

Das sind 64EUs in 23mm2!


Angesichts dessen dass ACM-G10 mit 128EUs und 96bit SI + mediablock immerhin 157mm2 in N6 sind macht das mehr Sinn. Hatte erst sogar auf 32EU spekuliert, da das Skaling zwischen N6 -> N7 eher um die 1.5x beträgt (TSMC sagt "bis zu 2,0x" von vanilla N7 aus).


Die 96EU Iris Xe vom 12700H hat es schon schwer gegen die Radeon 660m mit nur 6CUs, viel schneller werden die neuen 64EUs wohl auch nicht.

Die große GPU hat dann 192EU und wird später nachgereicht. Das reicht für die 680m von Rembrandt, aber für RNDA3 16CU/WGP von Phoenix wird Intel das weiterhin schwer haben.

Platos
2022-08-23, 22:12:43
Ich hatte mich schon gewundert, warum die nur bei wccftech zu sehen sind.

Core count scalability geht bis 6+8. Wenn es wirklich eine größere Kernanzahl geben würde für desktop, hätte Intel das in der scalability mit einbezogen.

Steht doch ganz klar mobile und somit ist da Desktop nicht mit drinn.

ryan
2022-08-23, 22:22:31
Schon oft so ähnlich gelesen, aber das will ich nicht glauben. Neuer Node nur für ne Midrange CPU...? Das gab es imho auch noch nie. Dann schon eher mobile only wie vielleicht Tiger Lake. Aber selbst da sind 6+8 nicht wirklich viel. Macht für mich keinen Sinn so richtig.


In der Vergangenheit gab es bei einem neuen node mehrmals gar keine Desktop Version, siehe Broadwell oder Icelake oder Tigerlake. Intel 4 ist Intels erster EUV node. Die Überschneidungen werden größer. Raptor Lake i5 ist in Wirklichkeit Alder Lake. Intel 20A ist ja dann auch neu, wer weiß wie teuer das wird oder wie hoch die Kapazität ausfällt.

Raichu hat eine sehr sehr hoche Trefferquote was Intel angeht, deswegen ist die Wahrscheinlichkeit hoch. Die max core count Angabe von Intel ergibt Sinn.

https://twitter.com/OneRaichu/status/1533759998186393600
https://twitter.com/kopite7kimi/status/1533783931044376576



Damit ist dann auch klar wieso der GPU-DIE bisher so winzig war.

Das sind 64EUs in 23mm2!

Angesichts dessen dass ACM-G10 mit 128EUs und 96bit SI + mediablock immerhin 157mm2 in N6 sind macht das mehr Sinn. Hatte erst sogar auf 32EU spekuliert, da das Skaling zwischen N6 -> N7 eher um die 1.5x beträgt (TSMC sagt "bis zu 2,0x" von vanilla N7 aus).

Die große GPU hat dann 192EU und wird später nachgereicht.


Die MTL iGPU sollte um einiges kompakter ausfallen, die XMX Einheiten fallen ja weg. Dazu wandert der display controller in den Soc tile, eventuell auch der Media Block.


Steht doch ganz klar mobile und somit ist da Desktop nicht mit drinn.


Wo?

Platos
2022-08-23, 22:57:53
Wo?

Der Titel der letzten Grafik (Tabelle) heisst "Intel Mobility CPU Line Up". Und alle Daten zeigen auch, daas es um Mobil geht. Beispielsweise LPDDR5, nur max. 45Watt, H/P/U Series usw.

Abgesehen davon geht man doch sicherlich nicht zurück auf max 6 P-Kerne. Das muss man doch eigentlich sofort hinterfragen?

Oder bei welcher Stelle hast du denn sonst 6+8 gesehen?

iamthebear
2022-08-23, 23:02:51
Das wäre eine plausible Erklärung. MTL maximal bis i5, dann müsste aber ARL recht früh mit i7s nachlegen.

Afaik wird desktop MTL erst deutlich später launchen. Da ist es vollkommen klar dass es davon noch nichts zu sehen gibt und für mobioe ist 6+8 vollkommen ausreichen. Für mehr ist sowieso nicht genug TDP vorhanden.

Das befürchte ich ist genau was passiert. Man baut den Mobile-DIE mit 8VT zellen weil nur so die 20% Taktsprung möglich sind. Dazu dann 10% IPC oder so und schon hat man einen erfolgreichen Generationensprung.

Also ich sehe das weniger als Befürchtungs sondern als Idealfall, dass 20% Takt und 10% IPC kommen.
MLID hat von 20% IPC und 10% WENIGER Takt gesprochen also gesamt nur 10% schneller. Das ist jetzt nicht unbedingt sehr überzeugend, da die Kerne ja auch nicht unbedingt kleiner werden und es voraussichtlich auch nicht mehr davon gibt.

MLID spekuliert. Ich wüsste nicht wo die zusätzliche IPC herkommen soll wenn die Kerne doch im wesentlichen Golden Cove ähnlich bleiben. L1 von 32kb auf 48kb vielleicht, aber abseits davon sehe ich nicht wo das Silizium herkommen soll für IPC Verbessernungen.
MTL ist ein Tick, keine Tock Architektur. Da fährt man auf minimalem Risiko bei der Architektur und holt die Mehrperformance über die Fertigung, bzw. höchstens noch mehr Cache (was bei MTL nicht der Fall ist, der E-Core Cache schrumpft sogar).

Zumindest nach früheren Leaks ist MTL sehr wohl eine neue Architektur. Ich denke das kann man in etwa mit Sky Lake vs. Ice Lake vergleichen: Einige Neuerungen aber jjetzt kein komplettes Redesign.

Das komplette Redesign soll erst mit Nova Lake kommen und in etwa dem entsprechen, was Intel nach Netburst mit der Core Architektur gemacht hat.

Was die IPC angeht ist mir das klar:
Intel setzt auf ein breiteres Design und opfert Takt, gewinnt dadurch im Idealfall jedoch IPC und senkt die Verlustleistung.
Dass das möglich ist sieht man ja bei Apple und dem M1: ST Performance auf Zen3 Niveau aber bei 3GHz und sehr energiesparend.

Ich befürchte, dass sich bei Intel etwas der Fokus verschoben hat:
Der Desktopmarkt ist relativ tot.
Im Mobilemarkt sind die Businessgeräte das was Geld bringt und hier sind gerade alle im Low Power Wahn. Mit AMD und Apple hat man auch starke Konkurrenz weshalb man wohl Richtung weniger Verbrauch pro Kern optimieren wird und weniger auf Peak Performance.

Naja, ADL nutzt im kritischen Bereich UHP Zellen, also Ultra high performance. Die gibt es in intel4 nicht, nur HP. Und die V/F Grafik zeigt eine Kurve mit stark abnehmendem Grenzertrag oberhalb von 3,5Ghz. Wir wissen nicht we die weitergeht, die könnte sich auch durchaus bei 5Ghz mit der von intel7 kreuzen.

Wie schon erwähnt:
Das ist ein ARM Kern, der da verglichen wird und kein ADL Design. Da wird es keine 5GHz geben.

Ja, es gibt eine sehr glaubwürdige interne roadmapfolie die ein ARL 6+8+GT3 Halo Produkt zeigt. Und CPU-68 ist definitiv der 6P +8E CPU Die, keine GPU.

Das sieht nach einer Roadmap des iGPU Teams aus.
GT1 war bisher die iGPU mit 32 EUs
GT2 waren 96 EUs
GT3 wird wohl die große Ausbaustufe mit 320 EUs sein bzw. auch als Halo Product bezeichnet.

N3 ist ein TSMC Prozess. Der wird für die iGPU verwendet werden.
Die Intel Prozesse heißen Intel 4, Intel 3 oder 20A.

https://videocardz.com/newz/intel-arrow-lake-p-with-320eu-gpu-confirmed-by-a-leaked-roadmap-targeting-to-compete-with-apple-14-premium-laptops

Top Alchemist hat 512 EUs und schlägt wenn man die richtigen Spiele herauspickt gerade einmal eine RTX 3060. Mit 320 EUs als iGPU im Notebook dürfte das eher so im Bereich einer 6500 XT liegen. Das ist jetzt nicht besonder weltbewegend und hat gegen AMDs APUs im Jahr 2024 sowieso keine Chance.

Es steht aber nunmal auf der Roadmap dass es mindestens ein ARL produkt auch mit 20A Chiplets geben wird. Das wird Investorenklagen nach sich ziehen wenn dem gar nicht so ist.

Meteor Lake:
Compute Die: Intel 4
iGPU: TSMC N5
SOC und IO Die: TSMC N6

Arrow Lake:
Compute Die: Intel 20A
iGPU: TSMC N3
SOC und IO Die: Vermutlich wieder TSMC N6 (die werden großteils weiter verwendet)

Da gibts nix zu klagen, da diese Roadmaps nicht verbindlich sind ;). Ich wette, das 20A wird demnächst einfach verschwinden bei der nächsten Roadmap.

In deiner Quelle ist ARL "CPU 68" noch mit N3 vermerkt ;).

Das Arrow Lake Design ist doch schon längst fertig. Da werden gerade noch die letzten Validierungen gemacht und bald sollte es zum Tape out gehen falls dieser nicht schon bereits erfolgt ist.
Ab jetzt gibt es nur mehr 2 Möglichkeiten:
a) Das Ganze funktioniert und wird mehr oder weniger pünotlich als 20A ausgeliefert
b) Das Ganze funktioniert nicht und Intel stampft das Design ein und probiert es 2-3 Jahre später mit Lunar/Nova Lake noch einmal siehe Cannon Lake.

Ihr schreibt ja, dass Intel bei der Verfügbarkeit Vorteile haben könnte mit so vielen verschiedenen Fertigungen. Aber kühlt der Markt nicht ab und somit sollte sich auch bei TSMC die Lage entspannen und somit auch für AMD?

Also ich sehe das eher als Nachteil. Man verwendet 3 verschiedene Fertigungen, 2 davon extern und wenn eine davon schief geht, dann kann man gar nichts mehr ausliefern. Man hat die eigenen Risiken mit Intel 4/20A, man hat die Risiken mit N3 das ja auch ein Problemkind ist, man hat die ganzen geopolitischen Risiken mit TSMC/Taiwan an sich und man hat für alles Kapazitäten eingeplant. TSMC wird wohl kaum die Kontingente stornieren nur weil Intel Verzögerungen bei seiner I4 Fertigung oder dem Design hat.

Vielleicht geht das doch wieder nur monolithisch, weil die Ströme bei 240W zu groß werden für Foveros.

Intel bezeichnet 20A übrigens als 5nm EUV. Kein Wort mehr von Intel3.

5nm ist der alte Namen. Intel hat vor ca. einem Jahr sich an das Bezeichnungssystem von TSMC angepasst:
10nm++ => Intel 7
7nm => Intel 4
7nm+ => Intel 3
5nm => 20A

Intel4 ist kein vollständiger Prozess. Da fehlen noch Libraries d.h. man kann damit nur Compute Dies fertigen. Intel 3 wird vollständig sein und wird für Server und Foundry Kunden verwendet.
Im Clientbereich springt Intel gleich von Intel 4 auf 20A. Dort ist das mit den fehlenden Libraries kein Problem, da IO Die, iGPU etc. ja von TSMC kommen.

iamthebear
2022-08-23, 23:15:22
Schon oft so ähnlich gelesen, aber das will ich nicht glauben. Neuer Node nur für ne Midrange CPU...? Das gab es imho auch noch nie. Dann schon eher mobile only wie vielleicht Tiger Lake. Aber selbst da sind 6+8 nicht wirklich viel. Macht für mich keinen Sinn so richtig.

14nm ist als Broadwell Dual Core mit 4.5W gestartet.
10nm ist als Cannon Lake Low End Mobile CPU mit defekter iGPU in China gestartet.

Wenn die Yields grottig sind, dann fängt man halt zuerst mal mit kleinen Chips und niedrigen Taktraten an.

ryan
2022-08-23, 23:17:07
Der Titel der letzten Grafik (Tabelle) heisst "Intel Mobility CPU Line Up". Und alle Daten zeigen auch, daas es um Mobil geht. Beispielsweise LPDDR5, nur max. 45Watt, H/P/U Series usw.

Abgesehen davon geht man doch sicherlich nicht zurück auf max 6 P-Kerne. Das muss man doch eigentlich sofort hinterfragen?

Oder bei welcher Stelle hast du denn sonst 6+8 gesehen?



In keiner der hotchips Folien steht das. Am besten du verlinkst es direkt bzw. markierst es. Irgendwas bringst du durcheinander.



Top Alchemist hat 512 EUs und schlägt wenn man die richtigen Spiele herauspickt gerade einmal eine RTX 3060. Mit 320 EUs als iGPU im Notebook dürfte das eher so im Bereich einer 6500 XT liegen. Das ist jetzt nicht besonder weltbewegend und hat gegen AMDs APUs im Jahr 2024 sowieso keine Chance.



Die dGPU lässt sich nicht unbedingt auf eine iGPU übertragen, teilweise sieht man das schon am Vergleich zur Xe LP iGPU (https://www.computerbase.de/2022-08/mobile-igpu-amd-intel-test/#abschnitt_spielebenchmarks). Was der Xe LP vor allem fehlt ist die Taktfrequenz, Xe LP mit 10ESF skaliert schlecht nach oben. Eine 128EU Xe LPG mit 2+ Ghz und 5nm kann für eine Verdopplung gut sein. Von einer 320EU Version wollen wir nicht reden.

Ein Problem bei der dGPU Version ist der GDDR6 IMC. Intel hat die GDDR6 IMC IP extern zugekauft. Der hohe Performance Verlust ohne rBAR deutet auf große Probleme hin. Mit dem GDDR6 IMC muss sich die iGPU nicht rumschlagen. Ansonsten ist die Xe HPG aufgebohrt zur Xe LP, allen voran die Absenkung auf 64EUs pro slice für eine bessere Fütterung der EUs inlusive der 14% mehr threads. Abgesehen davon hat Intel mehr als ein Jahr Zeit die Treiber zu verbessern. Mit solchen Vergleichen wäre ich vorsichtig. Mit iGPUs hat Intel mehr Erfahrung, das kann was besseres werden.

Platos
2022-08-24, 00:07:07
In keiner der hotchips Folien steht das. Am besten du verlinkst es direkt bzw. markierst es. Irgendwas bringst du durcheinander.


Ich habe auch nirgends in den Folien was von 6+8 gesehen? Kannst du sagen, wo genau du das gesehen hast?

Und zum Mobile: Nein, nicht in der Folie, aber hier:

https://abload.de/img/intelmobilitycpulineuwzdw9.png

Übrigens wird ja im Text immer wieder von Mobile geredet, beispielsweise: The configuration shown here is also a mobile-specific chip with a 6+8 (6 P-Cores + 8 E-Cores) layout

Deswegen die Frage: Wo siehst du denn den Bezug zum Dekstop in diesem Artikel bzw. bezüglich 6+8? Denn ich sehe den nicht.

davidzo
2022-08-24, 00:31:13
Die MTL iGPU sollte um einiges kompakter ausfallen, die XMX Einheiten fallen ja weg. Dazu wandert der display controller in den Soc tile, eventuell auch der Media Block.

Na klar, aber wohl kaum kompakter als XE-LP. Die IGPs haben bisher auch kein XMX. Die 32EUs bei Alderlake-P sind 17mm2 groß in Intel7, ohne Mediablock und sonstige Logik, rein die EUs.
23mm2 für 64EU in TSMC N5 kommt also hin. Man darf nicht vergessen dass der Die to Die interconnect auch etwas Platz kostet.

Afaik wird desktop MTL erst deutlich später launchen.


Fragwürdig solange es keinen UHP Prozess gibt.



Zumindest nach früheren Leaks ist MTL sehr wohl eine neue Architektur. Ich denke das kann man in etwa mit Sky Lake vs. Ice Lake vergleichen: Einige Neuerungen aber jjetzt kein komplettes Redesign.


Die DIE-shot-Analyse von semianalysis hat festgestellt dass der Floorplan totz des shrinks und neuen prozesses weitgehend identisch ist und sich an den Größenverhältnissen der Subunits nichts getan hat.
Da ist imo sehr wenig Potential für große IPC Veränderungen.

https://semianalysis.substack.com/p/meteor-lake-die-shot-and-architecture?utm_source=substack&utm_campaign=post_embed&utm_medium=web


Wie schon erwähnt:
Das ist ein ARM Kern, der da verglichen wird und kein ADL Design. Da wird es keine 5GHz geben.

Ist auch egal ob sie ARM, Mips, Xtnesa oder Atmel nehmen, der Punkt ist dass die Linie innerhalb des Diagramms aufhöhrt während die intel7 Linie anscheinend noch darüber hinaus skaliert.
Außerdem vergleichen die offensichtlich nur die HP Zellen untereinander. Intel7 nutzt auf dem Desktop aber UHP, welche in dem Diagramm verständlicherweise noch besser nach oben skalieren würden. Ich bleibe dabei, ich glaube Intel4 werden wir nicht im Desktop sehen.




Das sieht nach einer Roadmap des iGPU Teams aus.
GT1 war bisher die iGPU mit 32 EUs
GT2 waren 96 EUs
GT3 wird wohl die große Ausbaustufe mit 320 EUs sein bzw. auch als Halo Product bezeichnet.

Klingt realistisch.
Wenn 64EU in N5 23mm groß sind, dann wären 320EU in N5 115mm2 groß. Mit Node-Scaling und etwaigen Architekturverbesserungen von battlemage sind es dann 80mm2 in N3.


Top Alchemist hat 512 EUs und schlägt wenn man die richtigen Spiele herauspickt gerade einmal eine RTX 3060. Mit 320 EUs als iGPU im Notebook dürfte das eher so im Bereich einer 6500 XT liegen. Das ist jetzt nicht besonder weltbewegend und hat gegen AMDs APUs im Jahr 2024 sowieso keine Chance.

Intel hat ARL wohl ursprünglich gegen Phoenix aufgestellt, kommt jetzt aber durch die diversen Verzögerungen später. Wenn Phoenix wie einige Gerüchte sagen nur ein moderates upgrade von 12 auf 16CUs bzw. 8 RDNA3 CUs (1024sp) bekommt wäre das sehr vergleichbar mit der 6500xt und wohl in Intels Schlagweite.
Falls Phoenix aber doch wie manche neuere Gerüchte sagen mit 12 RDNA3 CUs kommt, also 1536SP und mit Taktraten nah an 3Ghz dann wird das ne harte Nuss für Intel. Ohne Infinitycache kann ich mir das aber schwer vorstellen, DDR5 hat immer noch ein Defizit von über 50% gegenüber dem GDDR6 von Navi24.

[QUOTE=ryan;13088607]
Ein Problem bei der dGPU Version ist der GDDR6 IMC. Intel hat die GDDR6 IMC IP extern zugekauft. Der hohe Performance Verlust ohne rBAR deutet auf große Probleme hin. Mit dem GDDR6 IMC muss sich die iGPU nicht rumschlagen. Ansonsten ist die Xe HPG aufgebohrt zur Xe LP, allen voran die Absenkung auf 64EUs pro slice für eine bessere Fütterung der EUs inlusive der 14% mehr threads. Abgesehen davon hat Intel mehr als ein Jahr Zeit die Treiber zu verbessern. Mit solchen Vergleichen wäre ich vorsichtig. Mit iGPUs hat Intel mehr Erfahrung, das kann was besseres werden.

Du suggerierst doch nicht im Ernst dass eine mobile XE-LP mobile IGP pro EU besser performt als eine voll ausgefahrene XE-HPG gaming GPU mit einem Vielfachen der TDP und ungebremsten Taktraten?

mboeller
2022-08-24, 10:07:19
Meteor Lake HC34 Präsentation:

https://www.servethehome.com/intel-disaggregates-client-chips-with-meteor-lake-hc34/

das hier fand ich besonders interessant:


This shows that the “tax” for disaggregation at a 36um bump pitch is only 2-3%. It is hard to even see the different tiles.


https://www.servethehome.com/wp-content/uploads/2022/08/HC34-Intel-Monolithic-v-Disaggregated-1.jpg

Platos
2022-08-24, 11:43:07
Da steht auch (so wie auf den Folien, sehe ich gerade), dass Lunar Lake Foveros Omni nutzt (25micrometer bumb Pitch).

Gibt es irgendwelche Informationen, wann Foveros Direct (<10 micrometer) benutzt werden könnte? Weil da ist der Energieaufwand nochmals deutlich kleiner, während dem er bei Foveros Omni jetzt nicht so sehr unterschiedlich aussieht (oder noch nicht klar definiert wird).

Ich finde das ja am interessantesten. Bei AMD ist ja eigentlich der zusätzliche Energieaufwand im Vergleich zu monolithisch einfach sehr schlecht. Bei Intel scheint es praktisch keine Auswirkung zu haben. Bin gespannt, wie es aussehen wird in Teillastszenarien. Dürfte sehr gut werden.

Das ist m.M.n (neben keiner iGPU) das grosse Manko von den Zen Chiplet-CPUs. Zieht bei ihnen einfach zu viel Strom.

Gipsel
2022-08-24, 11:51:45
Gibt es irgendwelche Informationen, wann Foveros Direct (<10 micrometer) benutzt werden könnte? Weil da ist der Energieaufwand nochmals deutlich kleiner, während dem er bei Foveros Omni jetzt nicht so sehr unterschiedlich aussieht (oder noch nicht klar definiert wird).

Ich finde das ja am interessantesten. Bei AMD ist ja eigentlich der zusätzliche Energieaufwand im Vergleich zu monolithisch einfach sehr schlecht. Bei Intel scheint es praktisch keine Auswirkung zu haben.Kommt drauf an, was Du vergleichst. Der 3D-Cache wird bereits jetzt mit deutlich kleineren Kontakten (<10µm) verbunden (minimaler Pitch waren glaube ich 8µm oder so sind 9µm (https://videocardz.com/newz/amd-3d-v-cache-uses-9-micron-pitch-bonds-the-future-of-3d-stacking-is-circuit-slicing), auch wenn die kleinen Kontakte beim 3D-Cache keine großen zusammenhängenden Flächen belegen). Das dort verwendete direct oder hybrid bonding von TSMC ist quasi ein Äquivalent zu Foveros direct.

Platos
2022-08-24, 12:33:21
Kommt drauf an, was Du vergleichst. Der 3D-Cache wird bereits jetzt mit deutlich kleineren Kontakten (<10µm) verbunden (minimaler Pitch waren glaube ich 8µm oder so sind 9µm (https://videocardz.com/newz/amd-3d-v-cache-uses-9-micron-pitch-bonds-the-future-of-3d-stacking-is-circuit-slicing), auch wenn die kleinen Kontakte beim 3D-Cache keine großen zusammenhängenden Flächen belegen). Das dort verwendete direct oder hybrid bonding von TSMC ist quasi ein Äquivalent zu Foveros direct.

Ah interessant, habe ich nicht gewusst. Ist vermutlich aber auch teuer. Was nutzt denn AMD für eine Kontaktierung bei den Chiplets ?

AMD müsste dann aber vermutlich wie Intel sowas wie ein Base-Tile haben, worauf dann die ganzen anderen Chip-Teile drauf kommen. Also eben gestapelt, nicht so wie bisher bei AMD. Da hat Intel nun schon eine ziemlich gute Technik "geteasert" (War ja schon bekannt, aber jetzt genauer).

Ich nehme an, eine Stapelung ist da auch nicht unwichtig, um den Stromverbrauch zu senken, oder? Weil dadurch verringert sich ja schliesslich die Distanz und vor allem sollte sich dadurch auch die Latenz enorm verbessern bzw. im Vergleich zu monolithisch nicht so enrom verschlechtern, wie bisher bei AMD.

Ich denke, es wird wohl nicht nur die Kontaktierungsgrösse sein, sondern auch eben wie gesagt die Stapelung (beim 3D-Cache scheint mir das ja auch sehr gut gelöst zu sein), oder meinst du nicht ?

Blediator16
2022-08-24, 13:10:52
Im teasern ist Intel ganz gut geworden. Richtige Vergleichen gehen eben nur dann, wenn man einen full stack auf dem Markt hat und keine Vapoware auf Folien oder nur ein einziges Produkt, was am Markt niemals überleben wird.

Platos
2022-08-24, 13:18:45
Können wir das Gebashe nun mal sein lassen und bei der Technik bleiben? Wäre vlt. mal was...

Lehdro
2022-08-24, 13:19:30
Ich finde das ja am interessantesten. Bei AMD ist ja eigentlich der zusätzliche Energieaufwand im Vergleich zu monolithisch einfach sehr schlecht. Bei Intel scheint es praktisch keine Auswirkung zu haben. Bin gespannt, wie es aussehen wird in Teillastszenarien. Dürfte sehr gut werden.

Das ist m.M.n (neben keiner iGPU) das grosse Manko von den Zen Chiplet-CPUs. Zieht bei ihnen einfach zu viel Strom.
Hast du da ein paar Argumente dazu, warum du schlussfolgerst das Intel da keinen zusätzlichen Energieaufwand haben sollte? Gibt es schon Beispiele?

AMD verbaut den Kram schon seit Jahren so und ist teilweise praktisch weiter, als das was Intel bisher nur als Konzept anteasert. Ich bin bei Intel in den letzten Jahren sehr skeptisch geworden was diese Sachen angeht.

dildo4u
2022-08-24, 13:38:06
Bei diesen Konsumer SOC scheint Intel besser aufgestellt zu sein.
AMD nutzt z.b für Low-End selbst 2023 noch Zen 2, vermutlich damit der komplette Chip mit der neuen RDNA2 GPU nicht zu groß wird.

https://wccftech.com/amd-ryzen-3-7320u-mendicono-6nm-cpu-4-zen-2-cores-2-rdna-2-gpu-cores-leak/

Ich erwarte von Intels Ansatz das sie die neusten Kerne für solche Modelle nutzen weil das CPU Tile an sich Klein genug ist.
Ich fand z.b AMD Desktop APU bisher nicht sonderlich attraktiv da sie beim Cache beschnitten werden,(Um Die Space zu sparen) wenn die Zen 4 Modelle wieder Monolithisch sind könnte das wieder der Fall sein.

Gipsel
2022-08-24, 13:40:56
Hast du da ein paar Argumente dazu, warum du schlussfolgerst das Intel da keinen zusätzlichen Energieaufwand haben sollte? Gibt es schon Beispiele?

AMD verbaut den Kram schon seit Jahren so und ist teilweise praktisch weiter, als das was Intel bisher nur als Konzept anteasert. Ich bin bei Intel in den letzten Jahren sehr skeptisch geworden was diese Sachen angeht.
Na ja, "seit Jahren" ist in Bezug auf die Kontakte mit 9µm-Pitch vielleicht etwas übertrieben. Aber ja, AMD nutzt beim Stapeln der 3D-VCaches jetzt bereits einen Kontaktierung (https://www.hardwareluxx.de/index.php/news/hardware/prozessoren/56917-hot-chips-33-amd-nennt-weitere-details-zum-3d-v-cache.html), die bei intel mit Foveros direct erst kommen soll. Ist zugegeben Cache auf Cache und damit auch etwas einfacher als bei Logik, aber dafür stapelt man zwei aktive Dies und nicht nur auf einem passiven Interposer. Und man ist früher dran und sammelt Erfahrung.
Dies auf die Verbindung von Teilen einer Chiplet-basierten CPU/APU anzuwenden, war bisher nicht ökonomisch sinnvoll. Technisch machbar wäre es bereits.

=========================

Bei diesen Konsumer SOC scheint Intel besser aufgestellt zu sein.
AMD nutzt z.b für Low-End selbst 2023 noch Zen 2, vermutlich damit der komplette Chip mit der neuen RDNA2 GPU nicht zu groß wird.

https://wccftech.com/amd-ryzen-3-7320u-mendicono-6nm-cpu-4-zen-2-cores-2-rdna-2-gpu-cores-leak/Das ist zuerst mal low power (5-10W) and dann low end. Die konkurrieren in der Klasse der ehemaligen Atom-Netbooks und so, wo es früher mal die kleineren Bobcat/Jaguar/Puma-basierten Modelle (Kabini, Temash, Beema, Mullins und so) von AMD gab. Die Dinger werden wahrscheinlich nie im Desktop zu sehen sein. Und wenn man für so einen Chip <50$ verlangt, ist alles Andere vermutlich zu teuer, wenn man noch was dran verdienen will. Das konkurriert mit Sachen in der Klasse von intel (verlinkt ist das Topmodell) (https://ark.intel.com/content/www/us/en/ark/products/212327/intel-pentium-silver-n6005-processor-4m-cache-up-to-3-30-ghz.html). Das sind 4 Tremont-Kerne bei 10W mit 2 - 3,3GHz. Mit denen kommen vier Zen2-Kerne bei 2,4 - 4,1 GHz (und auch 10W) schon noch klar. ;)

Platos
2022-08-24, 13:43:34
Hast du da ein paar Argumente dazu, warum du schlussfolgerst das Intel da keinen zusätzlichen Energieaufwand haben sollte? Gibt es schon Beispiele?

AMD verbaut den Kram schon seit Jahren so und ist teilweise praktisch weiter, als das was Intel bisher nur als Konzept anteasert. Ich bin bei Intel in den letzten Jahren sehr skeptisch geworden was diese Sachen angeht.

Praktisch keine Auswirkung ist erstmal nicht gar nichts. Also ich meinte damit, dass es in der Realtität dann Watt-mässig im Vergleich zu monolitisch keinen grossen Unterschied machen dürfte im IDLE/Teillast (im Gegensatz zu AMD unter Teillast).

Aber ja klar: Stehen ja zahlen da bei den jeweiligen Fovers-Versionen. 0.15x pJ/bit bei der ersten Verison, das ist nichts.

Hier noch eine Quelle (Golem ;)): https://www.golem.de/news/universal-chiplet-interconnect-express-chiplet-konsortium-ohne-aws-und-nvidia-2203-163644.html

Da steht: Hinsichtlich der Effizienz spricht das UCIe-Konsortium von 0,25 bis 0,5 Picojoule pro Bit, je nach Implementierung. AMDs Infinity Fabric soll älteren Daten zufolge mit 1,5 pJ/Bit darüber liegen, Intels EMIB und OCPs Bunch of Wires (BoW) mit 0,5 pj/Bit hingegen in einem ähnlichen Bereich.

Das ist 10x so hoch wie Intels erste Foveros-Version. Daher meine Einschätzung.

UCLe ist aber auch interessant (darum gehts ja in dem Golem-Artikel).

Edit: Und es geht ja jetzt nicht um den gestapelten Cache vom 5800XD. Das hast du aber bestimmt auch nicht gemeint, wenn du von "schon seit Jahren" redest.


Ich fand z.b AMD Desktop APU bisher nicht sonderlich attraktiv da sie beim Cache beschnitten werden,(Um Die Space zu sparen) wenn die Zen 4 Modelle wieder Monolithisch sind könnte das wieder der Fall sein.

Dafür sind die Dinger unter Teillast einfach deutlich besser, da Monolithisch (vom Stromverbrauch). Aber klar, gibt natürlich dafür zahlreiche andere Mängel bei den Dingern.

amdfanuwe
2022-08-24, 13:47:42
AMD müsste dann aber vermutlich wie Intel sowas wie ein Base-Tile haben, worauf dann die ganzen anderen Chip-Teile drauf kommen. Also eben gestapelt, nicht so wie bisher bei AMD.

Noch nicht wach?
Der Cache Chip wird direkt auf den CPU Chip gestackt. Die anderen Chiplets sind über den Trager mit dem I/O verbunden.
Da braucht es keinen Base Tile.


Da hat Intel nun schon eine ziemlich gute Technik "geteasert" (War ja schon bekannt, aber jetzt genauer).


Intel hat einen Interposer geteasert, wie er früher zur HBM Anbindung nötig war. Warum verwenden sie eigentlich nicht die günstigeren EMIBs?
Ich seh da keine gute Technik, eher Brute Force.

----
Favores Direct, also was AMD mit 3D-VCache schon anwendet, steht ab 2H/23 in Intels Roadmap.

Platos
2022-08-24, 13:52:19
Noch nicht wach?
Der Cache Chip wird direkt auf den CPU Chip gestackt. Die anderen Chiplets sind über den Trager mit dem I/O verbunden.
Da braucht es keinen Base Tile.



Intel hat einen Interposer geteasert, wie er früher zur HBM Anbindung nötig war. Warum verwenden sie eigentlich nicht die günstigeren EMIBs?
Ich seh da keine gute Technik, eher Brute Force.

----
Favores Direct, also was AMD mit 3D-VCache schon anwendet, steht ab 2H/23 in Intels Roadmap.

Nochmals: Es geht um Chiplets, nicht um Cache.

EMIB wird nicht weiter genutzt, weil es schlicht viel schlechter ist (deutlich Energiehungriger).

Und danke für die Roadmap. Kannst du die noch verlinken?

amdfanuwe
2022-08-24, 13:56:05
Das ist 10x so hoch wie Intels erste Foveros-Version. Daher meine Einschätzung.

Kommt halt auf den Anwendungsfall an, ob man sich den höheren Verbrauch leisten kann.
Bei AMD hat das Gesamtpaket für Desktop und Server funktioniert.

Platos
2022-08-24, 14:00:51
Kommt halt auf den Anwendungsfall an, ob man sich den höheren Verbrauch leisten kann.
Bei AMD hat das Gesamtpaket für Desktop und Server funktioniert.

Ja, das bestreite ich ja nicht. Aber was hat das jetzt für eine Relevanz? Ging doch nie darum, ob AMD damit Erfolg hat oder nicht^^

Es geht ja nur um die Technik und den damit höchst wahrscheinlich verbundenen nicht (relevant) höheren Stromverbrauch unter Teillast.

mboeller
2022-08-24, 14:04:23
Warum verwenden sie eigentlich nicht die günstigeren EMIBs?

vielleicht weil EMIB nur noch dem Namen nach EMIB ist? Die Idee mit den kleinen Verbindungs-Chips im organischen Substrat hat anscheinend nicht funktioniert und jetzt schaut EMIB IMHO komplett anders aus und viel komplexer.

amdfanuwe
2022-08-24, 14:09:18
Und danke für die Roadmap. Kannst du die noch verlinken?
https://www.anandtech.com/show/16823/intel-accelerated-offensive-process-roadmap-updates-to-10nm-7nm-4nm-3nm-20a-18a-packaging-foundry-emib-foveros
bzw.; https://www.anandtech.com/show/16823/intel-accelerated-offensive-process-roadmap-updates-to-10nm-7nm-4nm-3nm-20a-18a-packaging-foundry-emib-foveros/4

Platos
2022-08-24, 14:10:49
Danke

Aber das wird ja paralell zu den anderen Foveros-Technologien genutzt. Möglicherweise ist das nur für bestimmte Produkte dann im Einsatz und nicht ein simpler Nachfolger. Weil Lunar Lake soll mit Foveros Omni kommen.

amdfanuwe
2022-08-24, 14:13:37
Es geht ja nur um die Technik und den damit höchst wahrscheinlich verbundenen nicht (relevant) höheren Stromverbrauch unter Teillast.
Ja, es geht um Technik. Für eine bestimmte Aufgabe eine hinreichend optimale Lösung bezüglich Kosten, Verbrauch, Geschwindigkeit, Komplexität etc. zu finden.
Seh ich halt nicht, dass ein Base Tile da nützlich ist.

amdfanuwe
2022-08-24, 14:16:51
Danke

Aber das wird ja paralell zu den anderen Foveros-Technologien genutzt. Möglicherweise ist das nur für bestimmte Produkte dann im Einsatz und nicht ein simpler Nachfolger. Weil Lunar Lake soll mit Foveros Omni kommen.
Ja klar. Warum sollte man mit Kanonen auf Spatzen schießen?
Sinnvoll ist nur die Technologie zu nutzen, die man benötigt um die Vorgaben zu erfüllen. Manchmal stehen die Kosten im Vordergrund, manchmal die Performance, Manchmal der Verbrauch ...
Es gibt keine allgemein optimale Technik, ist immer nur ein Kompromiss.

Edit:
Grob kann man sagen: Je höher die benötigte Bandbreite zwischen den Komponenten umso teurer wird es.

Lehdro
2022-08-24, 14:47:11
Praktisch keine Auswirkung ist erstmal nicht gar nichts. Also ich meinte damit, dass es in der Realtität dann Watt-mässig im Vergleich zu monolitisch keinen grossen Unterschied machen dürfte im IDLE/Teillast (im Gegensatz zu AMD unter Teillast).

Aber ja klar: Stehen ja zahlen da bei den jeweiligen Fovers-Versionen. 0.15x pJ/bit bei der ersten Verison, das ist nichts.
Mein Argument ist: Intel schmeißt seit 2019(!) mit diesen Zahlen um sich (https://www.hardwareluxx.de/index.php/news/hardware/prozessoren/50173-intel-nennt-technische-details-zur-foveros-fertigung.html), hat es aber bis heute nicht wirklich damit auf den Markt geschafft. Daher behalte ich mir eine finale Wertung solange vor, bis wir tatsächlich ein richtiges Produkt damit sehen. In der Zwischenzeit bleibt es das was es ist: Eine Zahl auf dem Papier - denn gerade Intel hat damit schon so viel Schindluder getrieben, dass man diesen Zahlen nur halb über den Weg trauen sollte. Besonders da bei der Datenrate & der Implementation noch ein großes Fragezeichen herrscht.

Ich halte generell eher wenig davon Jahre alte Technik ("simples MCM") aus der Praxis(!) mit theoretischen Spitzenwerten von Intelfolien zu vergleichen. Vor allem wenn die Zielsetzung komplett unterschiedlich ist.

Edit: Und es geht ja jetzt nicht um den gestapelten Cache vom 5800XD. Das hast du aber bestimmt auch nicht gemeint, wenn du von "schon seit Jahren" redest.

Mit "praktisch weiter" meinte ich V-Cache, mit dem "seit Jahren" nur die Chiplets, korrekt.

Platos
2022-08-24, 15:07:10
Meteor Lake ist aber schon längst zu weit fortgeschritten, als dass Foveros dort nicht mehr verwendet werden könnte. Das ist also schonmal sicher.

Also entweder schafft es Meteor Lake nicht auf den Markt, oder es gibt Foveros in Meteor Lake.

Ich denke, es ist eher letzteres der Fall.

Lehdro
2022-08-24, 16:05:20
Meteor Lake ist aber schon längst zu weit fortgeschritten, als dass Foveros dort nicht mehr verwendet werden könnte. Das ist also schonmal sicher.

Also entweder schafft es Meteor Lake nicht auf den Markt, oder es gibt Foveros in Meteor Lake.

Ich denke, es ist eher letzteres der Fall.
Und da geht es schon los: Welche Version von Foveros in welcher Implementation? Es gibt mittlerweile zig Generationen und Versionen davon!

Ich sehe da für MTL einen passiven Interposer mit TSVs (also kein "richtiges" Stacking wie AMD es mit V-Cache betreibt) und 36µm bump pitchs. Wenn man böse ist könnte man von glorifizierter HBM Technik sprechen, halt für Logik anstatt Speicher und passiv, anstatt aktiv. Für mich ist das 2.5D und nicht 3D Stacking. 3D Stacking ist Chip-on-Chip, nicht Chip-on-Interposer.

2D = z.B. AMDs MCM
2.5D = z.B. HBM etc
3D = z.B. V-Cache/Lakefield

Das sind also alles in allem immer noch die 2019er Werte, trotz der Verspätung. Wobei ja Intel sagt, dass alles im Zeitplan ist. Man wird sehen, bin gespannt.

Aber versteh mich bitte nicht falsch: Technisch ist das sehr anspruchsvoll und auch wahnsinnig interessant. Gerade die Möglichkeit so maßgeschneidert nebeneinander zu "fliesen" ist beeindruckend. Aber Intel geht mir mit ihrem "Talk-the-Talk" ohne "Walk-the-Walk" mächtig auf den Zeiger. Zu viele Buzzwords für eine so lange Zeit ohne vorzeigbare Produkte. Fresse halten und Liefern, dann erst Auspacken. Man hat es eben schon zu oft und zu lange gehört. Alle anderen liefern einfach.

amdfanuwe
2022-08-24, 16:18:10
Fresse halten und Liefern, dann erst Auspacken.
Dabei muss ich an das Hot Chips Programm denken:
https://hotchips.org/advance-program/
AMD erzählt was über alte Ryzen 6000 und MI200.
Intel über Meteor Lake, Arrow Lake und Ponte Vecchio.

Platos
2022-08-24, 16:36:27
@ Lehdro: Stimmt schon, sie müssen natürlich Meteor Lake erst mal ausliefern und zeigen, aber ich habe ja nur über technische Neuigkeiten geredet, weil ich es spannend finde (bzw. deren Möglichkeiten).

Bezüglich Foveros und deren Versionen müsste mal jemand einen Aritkel machen oder ich müsste ihn finden.

Lehdro
2022-08-24, 16:47:13
@ Lehdro: Stimmt schon, sie müssen natürlich Meteor Lake erst mal ausliefern und zeigen, aber ich habe ja nur über technische Neuigkeiten geredet, weil ich es spannend finde (bzw. deren Möglichkeiten).
Es ist halt wirklich mega spannend, trotz der Tatsache das bei Intel der Mund schneller als die Hand ist, da stimme ich dir völlig zu. Vor allem was da noch alles kommen soll:

Bezüglich Foveros und deren Versionen müsste mal jemand einen Aritkel machen oder ich müsste ihn finden.
Bei Anandtech gibt es einige gute, ergiebige Artikel(-schnipsel) dazu, nur so wirklich gebündelt habe ich das noch nirgendwo gesehen.

amdfanuwe
2022-08-24, 17:54:42
Faveros ist eine Bezeichnung für Intels Packaging Technologien.
So wie Info oder COWOS bei TSMC.

Informationen dazu würde ich bei Intel suchen:
https://www.intel.com/content/www/us/en/newsroom/resources/press-kit-accelerated-event-2021.html#gs.9k708q

Platos
2022-08-24, 18:09:52
Da habe ich lieber jemand, der das für mich aufarbeitet und vor allem nicht nur die Benefits nennt, was die jeweiligen Firmen sicher tun werden. Muss mir mal Anandtech-Artikel dazu suchen.

CrazyIvan
2022-08-25, 07:22:22
Intel hat einen Interposer geteasert, wie er früher zur HBM Anbindung nötig war. Warum verwenden sie eigentlich nicht die günstigeren EMIBs?
Ich seh da keine gute Technik, eher Brute Force.

Im Gegensatz zu AMD will Intel keine Chiplets mit einer Gesamtfläche von bis zu 1200mm2 verbinden, sondern nur ca. 300mm2 und damit locker innerhalb des Reticle Size Limits. Damit lässt es sich technisch recht einfach darstellen und ist anscheinend dank 22nm recht günstig. Am Ende haben wohl die besseren technischen Eigenschaften sowie das einfachere Packaging den Vorzug vor EMIB gegeben. Aber Du hast recht: Ich wäre auch eher von EMIB ausgegangen.

amdfanuwe
2022-08-25, 10:10:30
Für mich sieht Meteor Lake eher nach einem Alibi Produkt aus.
Nach dem Motto: "Seht her, wir können Chiplet und sind mit Intel 4 in Volumen Produktion"

Das sie I/O und GPU bei TSMC Fertigen lassen ist dann schon eher traurig.
Ist Intel 7 so schlecht, dass die I/O bei TSMC billiger sind?
Reicht das Volumen nicht?
Ebenso die GPU. Warum nicht auch diese in Intel 4?
Volumen, Yield, GPU IP noch nicht auf Intel 4 portiert?
Soll ja auch nur die HP-Bibliothek fertig sein.
Scheint mir, dass Intel grad mal genug IP und Volumen hat um CPU Kerne in Intel 4 zu fertigen.

Der Intel 4 Prozess wird wohl nicht weiter ausgebaut. Es geht zügig weiter auf Intel 3, Intel 20A.
Ziel ist es wohl diese EUV Phase, bei der Intel nur geringe Kapazitäten hat, zu überbrücken um dann 2025 mit High NA wieder groß einzusteigen.
https://www.computerbase.de/2021-07/chip-fertigung-intel-ist-erstkunde-fuer-high-na-euv-systeme-von-asml/
Bis dahin Forschung, Entwicklung neuer Strukturen ( RibbonFET ab Intel 20A), aufholen in der Prozesstechnik (Faveros Omni und Direct).

Erinnert an die Zeit, als sich AMD nach dem Bulldozer Debakel mit APUs über Wasser hielt.

Aber mal sehen, was Meteor Lake letztendlich bringt.
Zumindest geht Intel dabei der Kostenvorteil der eigenen Produktion weitestgehend verloren.

Platos
2022-08-25, 10:25:09
Interessiert doch einen Kunden genau null, wo was gefertigt wurde. Zählt nur, was hinten raus kommt.

davidzo
2022-08-25, 10:36:29
Das sie I/O und GPU bei TSMC Fertigen lassen ist dann schon eher traurig.
Ist Intel 7 so schlecht, dass die I/O bei TSMC billiger sind?

Intel7 läuft weiter für den Desktop, Server und HEDT (SR) und zwar mit größeren DIEs als zuvor. Zudem ist Intel7 zuletzt sehr auf UHP und skalierung optimiert worden, das Potential für hohe Frequenzen wäre bei einem i/o DIE einfach verschwendet. Vermutlich also ne Mischung aus Kapazitäten und Preis.


Ebenso die GPU. Warum nicht auch diese in Intel 4?

Der Intel 4 Prozess wird wohl nicht weiter ausgebaut. Es geht zügig weiter auf Intel 3, Intel 20A.
Hatten wir doch schon, weil Intel4 kein GPUfähiger Prozess ist. Und Intel4 wird sehr wohl weiter ausgebaut. Der Ausbau heißt dann Intel3, voll Designkompatibel.


Ziel ist es wohl diese EUV Phase, bei der Intel nur geringe Kapazitäten hat, zu überbrücken um dann 2025 mit High NA wieder groß einzusteigen.
Das wird immer so bleiben. Auch bei AMD.
Das Ziel von Disaggregated bei Intel bzw. der Chiplet Strategie bei AMD ist doch dass spezialisierte Prozesse verwendet werden können die eben nicht mehr für alle IPs gleichermaßen eingesetzt werden.
Man wird immer nur den CPU DIE auf einem HP oder UHP Prozess fertigen und bei der GPU immer auf den Prozess mit der höchsten Density gehen und bei i/o einen robusten Bulk-prozess. Sowohl bei Intel als auch bei AMD.

bbott
2022-08-25, 10:37:51
Interessiert doch einen Kunden genau null, wo was gefertigt wurde. Zählt nur, was hinten raus kommt.
Stimmt zeigt, aber wie viel Vertrauen man in die eigene Fertigung hat, bzw. was man von ihr hält. :D

Platos
2022-08-25, 10:48:28
Stimmt zeigt, aber wie viel Vertrauen man in die eigene Fertigung hat, bzw. was man von ihr hält. :D

Vertrauen in was? Die Qualität? Volumen? Was?

Sehe ich nicht so, es zeigt eher, dass sie nicht genügend Kapazität haben. Hätten sie kein Vertrauen, würden sie sicherlich nicht beim CPU-Teil ihre eigene Fertigung nehmen. Wie Davidzo schon sagte, ist es das Ziel von jedem, dass man nicht mehr alles in der gleichen Fertigung fertigen muss. Und wenn man outsourcen kann, kann man auch die Verfügbarkeit enorm verbessern.

Tiles von verschiedenen Fertiger zu nutzen, ist einfach ein riesen Vorteil.

Es geilen sich hier einfach ein paar Leute daran auf, dass Intel nun nicht mehr alles selber fertigt. Aber eine objektive Relevanz für das Produkt hat das nicht.

mboeller
2022-08-25, 11:14:10
Tiles von verschiedenen Fertiger zu nutzen, ist einfach ein riesen Vorteil.


und ich sehe es als sehr großes Risiko.

Linmoum
2022-08-25, 11:15:15
Es ist auch ein viel größeres Risikos als ein zu großer Vorteil.

Orko
2022-08-26, 18:30:10
Meteor-Lake ist anscheinend ein Mix aus TSMC 6N, 5N, Intel 22nm und Intel-4

https://twitter.com/SkyJuice60/status/1561741175551168518?cxt=HHwWjMCg_ePUtawrAAAA

aus dem Thread:

Zitat:
Compute tile - Intel 4
I/O tile - TSMC 6N
SOC Tile - TSMC 6N
GPU Tile - TSMC 5N
Base Tile - Intel 22nm

Ich schätze das mal so ein:

Der hohe TSMC-Anteil bei Meteor Lake ist eine Notlösung. Um technologisch kompetetiv zu sein benötigt Intel EUV-Prozess basierte Produkte, aber Intel (Produktionsvolumen vs installierte EUV Anlagen) hat aktuell ein massives EUV Bottleneck.
Also fertigt man aktuell in-houese nur das absolut nötigste (Compute Tile) plus den unkritischen Interposer. Alles andere geht an TSMC.

Klar ist das ein höheres Lieferketten-Risiko als eine komplette in-house Fertigung, aber immer noch besser als vom Produktions- / Liefervolumen her signifikant Federn lassen zu müssen.

Ich schätze der langfristige Plan ist, sobald EUV und high-NA-EUV ausreichend bei Intel verfügbar sind, alle 5 Tiles in-house zu fertigen.
Das lässt sich dann schön staffeln:

Compute Tile - fortschrittlichster Node (high power variante)
GPU Tile - fortschrittlichster Node (high density variante)
-> Je nach Auslastung / Marktlage kann die Kapazitätsaufteilung angepasst werden
SOC Tile - einen Node zurückhängend (ggf SRAM optimized variante)
I/O Tile - einen oder zwei Nodes zurückhängend
Interposer - irgend ein billiger alter Prozess

Somit kann man mehrere Linien unterschiedlicher Nodes schön parallel auslasten und ausbalancieren. Technisches Risiko bei etablierten Nodes ist gering und Yield ist bekannt. Aufrüsten / Umrüsten von Linien kann gestaffelt und kostengünstiger erfolgen:

Eine theoretische Intel-3 Linie fertigt zunächst Core 14gen CPU Tile, später dann Core 16gen SOC Tile, später dann Core 18gen I/O Tile.

Nachdem Intel das deutlich grössere Liefervolumen als AMD hat, macht (unter den Annahme in-house Fertigung) auch die Aufteilung in mehrere Chipteile (5 Tiles vs 2 Chiplets) Sinn.
Economie of Scale: Wenn das Absatz-Volumen gross genug ist kann so feingranularer produziert, balanciert und optimiert werden.

----

Interessant finde ich dass Intel und AMD in ihren aktuellen Innovationen etwas konträr aufgestellt sind:
Intel: big und little cores, Interposer und Tiles, separates GPU Tile
AMD: Stacked Cache

Technisch am interessantesten wäre natürlich alle 4 Merkmale zusammen, also ein drei Chip dicker Stapel.
Aber vielleicht ist aus Produktionskostensicht aktuell nur entweder Stacked Cache oder Interposer machbar, aber nicht beides zusammen.

Zossel
2022-08-27, 08:59:59
Klar ist das ein höheres Lieferketten-Risiko als eine komplette in-house Fertigung

Als wenn eine Inhouse Fertigung kein Risiko wäre.
Und umso weniger man (selbst) produziert desto weniger Kohle kommt für neue Nodes und Fabs rein.

ryan
2022-08-27, 12:37:47
Ich habe auch nirgends in den Folien was von 6+8 gesehen? Kannst du sagen, wo genau du das gesehen hast?

Und zum Mobile: Nein, nicht in der Folie, aber hier:

https://abload.de/img/intelmobilitycpulineuwzdw9.png

Übrigens wird ja im Text immer wieder von Mobile geredet, beispielsweise:

Deswegen die Frage: Wo siehst du denn den Bezug zum Dekstop in diesem Artikel bzw. bezüglich 6+8? Denn ich sehe den nicht.


Ich rede von den Intel slides. Deine Quellen sind nicht von Intel, das sind selbsterstellte slides oder eigene Interpretationen, da musst du aufpassen. Ganz explizit geht es um diese Folie: https://abload.de/img/2q2db8.png

Compute Core count scalability geht bis 6+8, das ist der maximale Aufbau. In der Folie 6 P cores mit 2 E-cluster. Ein E-cluster beinhaltet 4 E-Kerne.

Wie gesagt, wenn die Skalierbarkeit höher wäre, egal ob mobile oder Desktop, hätten sie das doch zeigen können. Von mobile only ist dort überhaupt nicht die Rede. Im Gegenteil hat Intel doch von Anfang an klargestellt, dass MTL bis über 100W skaliert, es wurde nie auf mobile eingegrenzt. Dass MTL den Fokus auf mobile legt, ist ein anderes Thema. Deswegen wird MTL wohl auf lowend-midrange limitiert bleiben für den Desktop.

Platos
2022-08-27, 15:40:09
Ich rede von den Intel slides. Deine Quellen sind nicht von Intel, das sind selbsterstellte slides oder eigene Interpretationen, da musst du aufpassen. Ganz explizit geht es um diese Folie: https://abload.de/img/2q2db8.png

Compute Core count scalability geht bis 6+8, das ist der maximale Aufbau. In der Folie 6 P cores mit 2 E-cluster. Ein E-cluster beinhaltet 4 E-Kerne.

Wie gesagt, wenn die Skalierbarkeit höher wäre, egal ob mobile oder Desktop, hätten sie das doch zeigen können. Von mobile only ist dort überhaupt nicht die Rede. Im Gegenteil hat Intel doch von Anfang an klargestellt, dass MTL bis über 100W skaliert, es wurde nie auf mobile eingegrenzt. Dass MTL den Fokus auf mobile legt, ist ein anderes Thema. Deswegen wird MTL wohl auf lowend-midrange limitiert bleiben für den Desktop.

Ahh, ich habe nach Zahlen gesucht, deshalb habe ich das nicht gefunden.

Ja, ist schon möglich, dass Meteorlake nur für bis Mid-Range ist. Es war ja auch schon Mitte 2021 die Gerüchtelage, dass Arrowlake für Enthusiastenprodukte zuständig ist.

Allerdings wenn das so kommt, dann muss Arrowlake auch spätestens 2 Quartale später launchen und nicht 1 Jahr später. Intel wird wohl kaum den i7 degradieren und ihm nur noch 6 P-Kerne spendieren. Und ein Jahr lang nur mit i5 antreten, ist so gar nicht Intels Art. Eigentlich gab es das noch nie in den letzten Jahren, dass Intel im Desktop eine lange Zeit nur die kleineren CPUs auf der neuesten Architektur zur Verfügung stellt.

Also wenn das passiert, dannn muss Arrowlake 2024 H2 kommen (Wenn Meteorlake 2023 H4 kommt).

ryan
2022-08-27, 16:08:19
Meteor Lake-S in 2023 ist eher unwahrscheinlich, Intel konzentriert sich erstmal auf MTL-M und MTL-U/P. Im Desktop ist Intel mit Raptor Lake in 2023 wohl nicht so schlecht aufgestellt, Zen 5 kommt ja auch erst in 2024.

00-Schneider
2022-09-30, 10:30:53
Gibt es schon Gerüchte, ob MTL mit AVX-512 Support kommen wird?

basix
2022-09-30, 13:02:06
Wenn ich schätzen müsste: Nein. Die Cores an sich schon, aber vermutlich wieder deaktiviert.

Für AVX512 müssten entweder die E-Cores AVX512 mitbringen oder das Problem mit einem Stunt via Intels Thread Director gelöst werden (bei AVX512 Last wird nur auf P-Cores scheduled). Letzteres wäre vermutlich die sinnvollere Variante und bereits heute kann ja auf "preferred cores" scheduled werden, welche höher boosten. Mit AVX512 Instruktionen schedulen ist es aber einiges schwieriger umzusetzen als einfach den schnellsten Core zu nehmen. Das ginge deutlich mehr in die Tiefe der Code Execution.

robbitop
2022-09-30, 14:40:11
Man könnte AVX512 ja über multi cycle implementieren für die E cores. Dann kostet das sicherlich nicht so viele Transistoren. Die Frage ist ob Intel das will oder ob sie AVX512 zur Segmentierung nutzen und das nur in Workstation/HEDT/HPC/Server/Pro SKUs verfügbar sein soll. So wie Dinge wie ECC usw.

basix
2022-09-30, 16:55:36
Dual-Cycle AVX512 auf den E-Cores ginge natürlich auch, ja.

MiamiNice
2022-10-04, 19:19:11
Mal plump in die Runde gefragt:

Hält es hier jemand für wahrscheinlich das Meteor, in Sachen Gaming, direkt schneller ist als ein monolithischer Raptor?

ryan
2022-10-04, 20:30:32
Muss nicht unbedingt sein, Meteor ist ja eh lowend-midrange so wie es momentan aussieht. Realistisch gesehen ersetzt MTL-S 6+8 die kommenden RPT-S/ADL-S 6+4 non K i5 und alles darüber wird von ARL-S ersetzt mit bis zu 8+32.

dildo4u
2022-10-04, 20:41:53
Wenn der 6+8 Core als i9 den größten Cache und hösten Takt bekommt sehe ich kein Grund warum er nicht Intels schnellster Gameing Chip ist.
Die i5k Modelle sind zur Zeit mit Absicht beschnitten um besser zu Segmentieren.

dildo4u
2022-11-10, 07:30:04
Bestätigung das Notebook Die im Desktop als i9 genutzt werden.


8NFc1bumTwc

Tarkin
2022-11-10, 08:27:44
Bestätigung das Notebook Die im Desktop als i9 genutzt werden.


https://youtu.be/8NFc1bumTwc

Also quasi 60-70% mehr IPC von RPL auf ARL - mhm, wirklich sehr realistisch :freak:

dildo4u
2022-11-10, 09:10:40
10 Intel vs 3nm TSMC?Er meint es könnte sein das ARL Desktop bei TSMC gefertigt wird wenn die eigene Fertigung nicht bereit ist.

basix
2022-11-10, 09:15:35
Also quasi 60-70% mehr IPC von RPL auf ARL - mhm, wirklich sehr realistisch :freak:

Auf Panther Lake wären es dann sogar >2x IPC. Wäre ja nice, wenn das so kommt. Ist aber schon ziemlich optimistisch. MLID hat bei Intel typischerweise aber relativ gute Quellen und Treffsicherheit.

Platos
2022-11-10, 10:55:06
Warum 60-70 % ? Da steht für Meteoroake 15-25 % und für Arrowlake 20-34%.

Ist doch klar, dass man mit der unteren Grenze rechnen muss und vor allem, heisst das noch nichts für Gaming. Vlt. bei Cinebench.

Auf jeden Fall wäre das +38% -68%, nicht 60-70%. Anzunehmen sind also eher 30-40% und für Gaming vlt. auch weniger. Ist ja immer noch genug.

ryan
2022-11-10, 12:46:13
Glaube ich erst wenn ich das sehe. Wäre natürlich super wenn es solche IPC Sprünge geben wird. Seine Info mit dem Royal Core und Arrow Lake ist falsch, das sagen zumindest zuverlässigere Quellen (Raichu und Exist50).

BavarianRealist
2022-11-10, 12:55:25
Klingt für mich in etwa so, als ob man bei Intel durchwegs sehr optimistisch unterwegs ist.

Wenn ich mir die Infos von gestern zu Xeon-Max ansehe, dann fehlen mir hier zwei Angaben: der Preis und die wirkliche Verfügbarkeit.

Letztlich denke ich aber, dass es zwangsläufig stark in Richtung Mulit-Die-Ansätze gehen wird, und zwar so wie bei Xeon-Max und eben auch bei Apples M1/M2: es wird auch mehr und mehr das Ram auf den Chip wandern, um Latency und Effizienz zu verbessern sowie die Plattformen darunter wieder einfacher zu gestalten.

Der_Korken
2022-11-10, 13:17:20
Bei diesen IPC-Gewinnen frage ich mich, ob diese für den ganzen Core gelten oder nur für einen Thread. Die IPC des M1 ist immer noch unerreicht, allerdings nur, wenn man einen Thread pro Kern nutzt. Mit SMT "leisten" die x86-Kerne von Intel und AMD schnell mal 30% mehr, sodass die "MT-IPC" deutlich zusammenrückt. Meint ihr, dass Intel (und auch AMD) solche hohen IPC-Sprünge dadurch erreichen, dass sie massiv in Singlethread-Ressourcen investieren, sodass ein Thread die Ressourcen des Kerns besser nutzt, dafür aber aber der SMT-Vorteil immer weiter sinkt bis SMT irgendwann sogar überflüssig wird? Dadurch müsste das Backend nicht breiter werden, denn das würde sicherlich auch irgendwann Latenz kosten.

CrazyIvan
2022-11-10, 13:31:31
Im Gegenteil: Je breiter der Kern ist, desto mehr profitiert SMT davon. Deshalb erscheint es mir auch widersinnig, dass Apple kein SMT einsetzt. Aber die Diskussion hatten wir schonmal im letzten Jahr - ohne konkreten Konsens.

Der_Korken
2022-11-10, 13:51:38
Deswegen soll man ihn ja nicht breiter bauen, sondern das OoO-Window vergrößern, mehr in Sprungvorhersage und µOp-Caches investieren, Latenzen drücken, etc. SMT wurde eingeführt, als man nur wenig Kerne hatte und Kerne teuer waren. Heute sind Kerne spottbillig und es geht immer mehr für Interconnects und Caches drauf. Das würde einen Paradigmenwechsel bezüglich SMT irgendwo rechtfertigen.

HOT
2022-11-10, 13:53:06
MMn ist ein MTL mit stark verbesserten Golden Cove ausgestattet, quasi der Zen3 für GC (Raptor Cove ist ja Golden Cove in heile und effizient). Der wird sicherlich kaum breiter werden, aber da gibt es sicherlich massiv Optimierungspotenzial, von daher wird der auch heftig mehr IPC mitbringen. Dafür wird es aber sicherlich ne Taktregression geben, da es unwahrscheinlich ist, dass man mit diesem Chiplet 240W abführen kann in dem kleinen Prozess. Man bedenke: Anders als bei AMD ist bei MTL ALLES was Hitze erzeugt in EINEM Chiplet, nicht in 2.
MTL wird aber sicherlich kaum langsamer werden als RPL, in Spielen sicherlich sogar gut schneller.
Für alle die MT brauchen werden diese CPU uninteressant werden und auch die Plattform, denn für die gibts dann den monolithischen 24-Kerner Fishhawk Falls. Der ist Raptor Cove und I7 und dürfte sehr viel Cache mitbringen und bis zu 24 Kerne. Das wird also Intels Antwort auf die X3D und die hohe MT-Leistung. Das Teil wird offenbar ein Jahr später nachgefolgt von Granite Falls in I4 und Redwood Cove (so jedenfalls der Plan).
Für High-End Spieler und alle, wie wirklich dicke CPUs brauchen, gibts also wieder ne neue Plattform bei Intel.

Was zu erwarten ist lt. MLID:

1H 23 -> FHF (I7)
2H 23 -> MTL-P/U/S (I4)
1H 24 -> GRF (I4)
2H 24 -> ARL-P/U/S (P/U in 20A, S in N3(E?X?)
1H 25 -> LNL (N3?)
2H 25 -> PTL (18A?)

CrazyIvan
2022-11-10, 15:20:16
Deswegen soll man ihn ja nicht breiter bauen, sondern das OoO-Window vergrößern, mehr in Sprungvorhersage und µOp-Caches investieren, Latenzen drücken, etc. SMT wurde eingeführt, als man nur wenig Kerne hatte und Kerne teuer waren. Heute sind Kerne spottbillig und es geht immer mehr für Interconnects und Caches drauf. Das würde einen Paradigmenwechsel bezüglich SMT irgendwo rechtfertigen.
Hm, da ist schon was dran. AMD hat seit Zen2 im Grunde genau das getan. Die eigentlichen Ausführungseinheiten blieben nahezu gleich, nur deren Auslastung wurde maximiert. Aber anscheinend hat sich das nicht signifikant auf den Nutzen von SMT ausgewirkt. Und irgendwann geht es dann auch ohne echte Verbreiterung wohl nicht mehr weiter.
Die Hersteller optimieren ja auch nicht nur auf genau einen Workload, sondern eben auf ein sehr breites Spektrum mit unterschiedlichen Bottlenecks bzw. brachliegenden Ressourcen.

Exxtreme
2022-11-10, 15:53:16
Deswegen soll man ihn ja nicht breiter bauen, sondern das OoO-Window vergrößern, mehr in Sprungvorhersage und µOp-Caches investieren, Latenzen drücken, etc. SMT wurde eingeführt, als man nur wenig Kerne hatte und Kerne teuer waren. Heute sind Kerne spottbillig und es geht immer mehr für Interconnects und Caches drauf. Das würde einen Paradigmenwechsel bezüglich SMT irgendwo rechtfertigen.

Naja, Kerne sind auch nicht billig. Sie sind nur viel billiger als eine hypothetische 30 GHz-CPU. :) Letztere wäre für die meisten Anwendungen womöglich besser. Man erreichte halt eine 3,3 GHz-Barriere und ab da war es billiger mehr Kerne in die CPUs reinzupacken denn die Taktfrequenz nach oben zu pushen.

Der_Korken
2022-11-10, 16:21:16
Vielleicht ist SMT auch bei einer (nahezu) Vollauslastung eines einzelnen Threads nützlich, weil man bei IO-blocking dann ohne Kontextwechsel der zweite Thread die Ressourcen übernimmt. Andererseits gab es in großen Maschinen mit Virtualisierung in den letzten Jahren immer wieder Sicherheitslücken, die auf SMT zurückzuführen sind, sodass es deaktiviert wurde (keine Ahnung, ob das bei den neuesten Generationen immer noch so ist). Man wirft mit wenig Performance-Verlust also vielleicht ein gutes Stück Komplexität und Fehlerquellen aus dem Design.

Platos
2022-11-10, 17:22:24
Vielleicht ist SMT auch bei einer (nahezu) Vollauslastung eines einzelnen Threads nützlich, weil man bei IO-blocking dann ohne Kontextwechsel der zweite Thread die Ressourcen übernimmt.

Bessere Auslastung des Kerns ist ja genau das, was SMT macht. Oder was meinst du ?

Der_Korken
2022-11-10, 18:41:39
Bessere Auslastung des Kerns ist ja genau das, was SMT macht.

Ja, aber durch einen zweiten Thread. Ich meinte Vollauslastung des Kerns durch EINEN Thread.

Leonidas
2022-11-30, 03:13:04
L4 Cache bei MTL?
https://twitter.com/Kepler_L2/status/1597467653094150144

HOT
2022-11-30, 09:19:05
Wenn man den schon auf 6+8 gecuttet hat (8+16 ist ja offenbar verworfen worden), ergibt das eigentlich total Sinn, den mit mehr Cache auszustatten. Damit wäre er eine top Spiele-CPU und für Nutzer von mehr Threads gibts ja die Fishhawk-Falls und Nachfolger. Ist im Prinzip ein ähnlicher Weg, wie AMD den Markt aufteilt. Für Spieler X3D, für Prosumer und andere R9 (und Threadripper).

Complicated
2022-11-30, 10:32:11
Bin gespannt ob das mit einem zusätzlichen Cache-Level, verglichen mit X3D, Unterschiede gibt.

HOT
2022-12-06, 10:27:17
Arf, es soll jetzt doch nen RPL-Refresh geben. Sieht doch schlecht aus für MTL-S.

https://www.computerbase.de/2022-12/cpu-neuvorstellungen-intels-launch-fahrplaene-fuer-anfang-2023-im-detail/

Die Roadmaps sind einfach utopisch aus meiner Sicht, zumal bei 20A ja Gerüchte von Problemen durchgesickert sind.

Sunrise
2022-12-06, 10:36:36
…Die Roadmaps sind einfach utopisch aus meiner Sicht, zumal bei 20A ja Gerüchte von Problemen durchgesickert sind.
Intel hat Probleme mit den Roadmaps? Wusste ich garnicht…

Matrix316
2022-12-07, 19:57:04
In der PCGH gibt's einen kleinen Artikel über die zukünftigen Intel Chips und da steht geschrieben, dass man weiterhin auf 8 Performance Kerne setzen will. Und: "Natürlich bieten Alder und Raptor Lake zusätzliche Effizienz-Kerne, doch das ist eine andere Architektur, die hauptsächlich für eine höhere Multi-, jedoch nicht Single-Core-Performance verantwortlich ist."

Ok, wem fällt es auf?

Wie groß ist der Einfluss der Anzahl der P Kerne auf die Single-Core-Performance? :naughty:

HOT
2022-12-08, 17:50:13
https://www.computerbase.de/2022-12/cpu-neuvorstellungen-intels-launch-fahrplaene-fuer-anfang-2023-im-detail/

Da sind wir nun. Kein Intel4-Prozessor in 23, mobil müssen wir sehen; es müsste ein MTL-S-Prozessor in 24 erscheinen, damit der Ablauf wieder stimmt. RPL-Refresh bestätigt, die Plattform schafft also diesmal 3 Gens. ARL-S dürfte in H1 24 sein finales Tape Out bekommen, kommt also sehr sehr sehr sicher erst 25, dann sicherlich auch nicht direkt zur CES, wie ich mit das schon dachte.

Etwas verwirrend ist, dass Intel Fishhawk Falls jetzt Sappire Rapids 64L zu nennen scheint. Die X-Varianten sind unlocked. Offenbar werden wir den schon zur CES zu Gesicht bekommen, wir sind sehr gespannt.
Ich vermute mal, dass Intel jetzt im Jahreszyklus agieren möchte:
Q3 23 -> RPL-R
Q3 24 -> MTL-S (wird also Zen5-Gegner)
Q3-4 25 -> ARL-S

Mal sehen, ob wir Granite Rapids noch in 24 sehen werden.

Intel hat auf jeden Fall schon wieder ordentlich Verzögerungen in der eigenen Planung drin.

ryan
2022-12-11, 00:07:03
Das untermauert eher die Gerüchtelage, dass MTL-S und ARL-S eine Generation bilden und MTL dabei nur das lowend-midrange abdeckt. Ein 6+16 wird in H2 2024 höchstens oberes midrange abdecken können, da muss man ehrlich sein. Bei ARL gibt es 8+16 und deutlich mehr IPC. MTL-S war aber ohnehin nicht für 2023 zu erwarten gewesen, sondern eben nur diverse mobile Varianten. Das ist nicht die große Neuigkeit. So kann Intel wenigstens die Lebenszeit von LGA1700 verlängern, im Desktop drückt es ja auch nicht so sehr...Zen 5 kommt auch erst 2024.

HOT
2022-12-11, 02:08:35
Das sind 2 Generationen. Es gibt von Intel keinerlei Hinweise, dass es anders wäre. Ausserdem willst du bis Mitte 25 mit RPL Refresh rumgurken? Intel sicher nicht. Nein, es wird MTL S geben, keine Sorge.

ryan
2022-12-11, 02:23:49
Das sind 2 Generationen. Es gibt von Intel keinerlei Hinweise, dass es anders wäre. Ausserdem willst du bis Mitte 25 mit RPL Refresh rumgurken? Intel sicher nicht. Nein, es wird MTL S geben, keine Sorge.


Es gibt von Intel auch keinerlei Beweise dagegen, als wenn das jetzt ein Argument wäre. Und wo nimmst du jetzt mitte 2025 für ARL-S her? Aus deiner Fantasie? Und natürlich wird es MTL-S geben oder wie soll man deinen letzten Satz verstehen? Bist du verwirrt?

robbitop
2022-12-11, 08:06:37
Das untermauert eher die Gerüchtelage, dass MTL-S und ARL-S eine Generation bilden und MTL dabei nur das lowend-midrange abdeckt. Ein 6+16 wird in H2 2024 höchstens oberes midrange abdecken können, da muss man ehrlich sein. Bei ARL gibt es 8+16 und deutlich mehr IPC. MTL-S war aber ohnehin nicht für 2023 zu erwarten gewesen, sondern eben nur diverse mobile Varianten. Das ist nicht die große Neuigkeit. So kann Intel wenigstens die Lebenszeit von LGA1700 verlängern, im Desktop drückt es ja auch nicht so sehr...Zen 5 kommt auch erst 2024.
ARL sollte iirc die E Cores übrigens wieder verdoppeln (auf 32x). Ob 6 P Cores wirklich von echtem Nachteil sind muss man sehen. Der 13600K ist taktnormiert kaum langsamer als der 13900K zB.

HOT
2022-12-11, 09:12:43
Es gibt von Intel auch keinerlei Beweise dagegen, als wenn das jetzt ein Argument wäre. Und wo nimmst du jetzt mitte 2025 für ARL-S her? Aus deiner Fantasie? Und natürlich wird es MTL-S geben oder wie soll man deinen letzten Satz verstehen? Bist du verwirrt?

Der wird frühestens 1h 24 sein Tape Out des finalen Steppings bekommen, Intel nennt das production ready. (MTL hat sein finales Tape Out bereits hinter sich, wird aber trotzdem nicht vor 24 im Desktop erscheinen.) Von da ab dauert es bis zum Release bei Intel gewöhnlich etwa 1 Jahr oder mehr. War bisher bei jedem Design so. Ausserdem bekommt ARL auch eine Mobilgeneration, wie es aussieht.

Ich weiss, dass diese Theorie verlockend ist, ich hab das genauso erst spekuliert. Aber mit den Roadmap ist jetzt klar, dass es so nicht funktionieren wird. Das sind 2 Generationen.

Edgecrusher86
2022-12-11, 14:48:29
Für alle die MT brauchen werden diese CPU uninteressant werden und auch die Plattform, denn für die gibts dann den monolithischen 24-Kerner Fishhawk Falls. Der ist Raptor Cove und I7 und dürfte sehr viel Cache mitbringen und bis zu 24 Kerne. Das wird also Intels Antwort auf die X3D und die hohe MT-Leistung.


Fishhawk Falls ist Raptor Cove? Ich dachte, die gesamte Plattform würde auf Golden Cove setzen?! :confused:

ryan
2022-12-11, 17:42:14
ARL sollte iirc die E Cores übrigens wieder verdoppeln (auf 32x). Ob 6 P Cores wirklich von echtem Nachteil sind muss man sehen. Der 13600K ist taktnormiert kaum langsamer als der 13900K zB.


Ja das stand so in dem alten reddit Leak. Der neueste Leak besagt aber 8+16 bei ARL-S und 6+16 bei MTL-S.


https://abload.de/img/155dyx.jpg

https://abload.de/img/2w4dzm.jpg


In Spielen ist der Unterschied vielleicht nicht groß zwischen 6 und 8 big cores, in Anwendungen ist es das sehr wohl. Außerdem reden wir hier vom Jahr 2024 und wohl nicht vor mitte 2024. Deswegen wird Intel die big core Aufteilung eher so beibehalten, also 6 big Kerne maximal bis zum x500-x600, wofür somit MTL-S infrage kommt, und 8 big cores für alles darüber mit ARL-S. Aus Intel Sicht macht eine Teilung zwischen Intel 4/20A/TSMC Sinn, so verteilt sich das Volumen auf mehrere Prozesse und Architekturen.

iamthebear
2022-12-25, 11:49:33
https://youtu.be/ykYm6uVYTnQ

6+16 wurde auch gecancelled.

Die Top Konfiguration für Desktop wird 6+8 sein aber sepbst das ist noch nicht sicher.

Für mich klingt das stark nach Broadwell 2.0

Ich kann mir allerdings gut vorstellen, dass Intel Ende 2024 oder 2025 noch einmal einen Refresh mit mehr Kernen nachschiebt, denn dass Arrow Lake in 20A schon 2024 kommen soll daran habe ich meine Zweifel.

ryan
2022-12-25, 12:36:09
Das ist von MLID, kann man also vergessen. Eventuell ist MTL-S ganz gecancelt laut rigaya, er sagt aber maybe. Das ist also alles andere als sicher. Die Situation das MTL gar nicht für den Desktop erscheint, hatte ich anfangs eigentlich erwartet. Das haben die Erfahrungen aus Broadwell und Icelake gezeigt. Arrow Lake Desktop könnte mit TSMC kommen, da gibt es entsprechende Gerüchte von rigaya selber. Das würde den möglichen Zeitplan rein vom manufacturing deutlich nach vorne verlegen.

HOT
2022-12-25, 12:44:17
https://youtu.be/ykYm6uVYTnQ

6+16 wurde auch gecancelled.

Die Top Konfiguration für Desktop wird 6+8 sein aber sepbst das ist noch nicht sicher.

Für mich klingt das stark nach Broadwell 2.0

Ich kann mir allerdings gut vorstellen, dass Intel Ende 2024 oder 2025 noch einmal einen Refresh mit mehr Kernen nachschiebt, denn dass Arrow Lake in 20A schon 2024 kommen soll daran habe ich meine Zweifel.

Man kann das auch so lassen, uns stattdessen Granite Falls in den Marktbereich schieben. Das wäre dann halt kein Xeon 2k mehr sondern der I9. Ich hatte ja schon bei Fishhawk Falls den Verdacht, dass Intel wieder ne High-End-Plattform implementieren möchte und für die OEMs gerne eine billigere Desktop-Plattform hätte. Die Boardpreise explodieren ja auch gradezu, wenn man eine günstige und eine teure Desktop-Plattform hätte, könnte man für MTL-S sehr günstige Boards anbieten und für Granite Falls dann das Zeug, was man jetzt auch anbietet für 200-1000$+.

iamthebear
2022-12-25, 23:48:51
1.) So desaströs wie das Datacenter Team bei Intel derzeit arbeitet würde ich Granite Rapids nicht in absehbarer Zeit erwarten. Die kämpfen gerade ums überleben, dass die Saphire Rapids noch irgendwie released bekommen. Das Client Team ist das Einzige, was bei Intel noch gut funktioniert solange die Fertigung mitspielt.

2.) Es gab seit 10 Jahren keinen neuen Intel Node mehr, der in der ersten Generation im Desktop einsetzbar war. Die Taktraten waren anfangs immer Schrott und es würde mich stark wundern wenn dies ab jetzt alles anders wäre.

3.) TSMC 3nm bei Arrow Lake kommt meines Wissens nur für den GPU Die. Der Compute Die ist 20A. Dass das 2024 im Desktop nichts wird ist mir auch klar. Die Frage ist. ob das Intel wirklich so viel kümmert. Der Großteil des Umsatzes kommt aus dem Notebookmarkt also wird dort auch der Fokus liegen speziell was die 15W Schiene angeht, denn das sind die ganzen Businesskunden und man ist AMD gegenüber angreifbar.

ryan
2022-12-26, 00:03:07
3.) TSMC 3nm bei Arrow Lake kommt meines Wissens nur für den GPU Die. Der Compute Die ist 20A. Dass das 2024 im Desktop nichts wird ist mir auch klar. Die Frage ist. ob das Intel wirklich so viel kümmert. Der Großteil des Umsatzes kommt aus dem Notebookmarkt also wird dort auch der Fokus liegen speziell was die 15W Schiene angeht, denn das sind die ganzen Businesskunden und man ist AMD gegenüber angreifbar.


Woher nimmst du dein Wissen?

Tangletingle
2022-12-26, 02:01:38
Forenname: Spekulationen

HOT
2022-12-26, 11:39:52
1.) So desaströs wie das Datacenter Team bei Intel derzeit arbeitet würde ich Granite Rapids nicht in absehbarer Zeit erwarten. Die kämpfen gerade ums überleben, dass die Saphire Rapids noch irgendwie released bekommen. Das Client Team ist das Einzige, was bei Intel noch gut funktioniert solange die Fertigung mitspielt.

Wo war denn die Rede von Granite Rapids? :confused:

2.) Es gab seit 10 Jahren keinen neuen Intel Node mehr, der in der ersten Generation im Desktop einsetzbar war. Die Taktraten waren anfangs immer Schrott und es würde mich stark wundern wenn dies ab jetzt alles anders wäre.

Dinge ändern sich, Not macht erfinderisch.

3.) TSMC 3nm bei Arrow Lake kommt meines Wissens nur für den GPU Die. Der Compute Die ist 20A. Dass das 2024 im Desktop nichts wird ist mir auch klar. Die Frage ist. ob das Intel wirklich so viel kümmert. Der Großteil des Umsatzes kommt aus dem Notebookmarkt also wird dort auch der Fokus liegen speziell was die 15W Schiene angeht, denn das sind die ganzen Businesskunden und man ist AMD gegenüber angreifbar.
Nach der Streichung von MTL-S 8+16 und 6+16 werden wir sehen, ob es einen ARL-S mit den Kernzahlen geben wird.

y33H@
2022-12-26, 12:27:18
Wo steht, dass MTL-S mit 6C+16E de facto gecancelt wurde?

dildo4u
2022-12-26, 12:31:14
Spekulation von Moores Law.

https://youtu.be/ykYm6uVYTnQ

Meteor Lake nur für Mobile und 2024 Arrow Lake für Mobile/ Desktop.

HOT
2022-12-26, 13:16:55
Wo steht, dass MTL-S mit 6C+16E de facto gecancelt wurde?
Defacto nicht. Ist halt der derzeitige Gerüchtestand, defacto gibts dabei nicht, das ist aber allgemein bekannt im Speku-Forum ;).

reaperrr
2022-12-26, 13:59:04
Wenn man ehrlich ist, macht 6+16 für 98% der Anwender aktuell eh keinen wirklichen Sinn.

Bei MTL kommen mal wieder neue E-Kerne, und auf früheren Intel-Folien war davon die Rede, dass die E-Kerne je Generation ca. 30% IPC gegenüber dem Vorgänger bringen sollen (dafür halt nur alle 2-3 Jahre eine neue Gen).
Die P-Kerne von MTL bekommen ebenfalls IPC-Verbesserungen.

Und von AMD werden Stand jetzt sowohl im Notebook (Phoenix Point, also alles ab 45W abwärts) als auch im Mainstream-Desktop vor der Zen5-Gen nicht mehr als 8 Kerne angeboten werden.
Da reichen 6P+8E, beide mit IPC-Verbesserungen, sowieso völlig aus.
In Spielen/Hauptthread-lastigen Anwendungen gewinnt man gegen Zen4 wegen der stärkeren P-Kerne, in Multithreading reichen auch 8 E-Kerne, um AMD-8C klar zu schlagen (die 6 P-Kerne sollten in MT allein schon fast auf Augenhöhe mit 8 Zen4-Kernen sein, mit den E-Kernen zieht man dann wahrscheinlich in MT Kreise um Phoenix/8C-Raphael).

Wozu da Energie und Fläche für weitere 8 E-Kerne verbraten, wenn man die Energie auch einsparen oder für nochmal 200-300 MHz mehr Takt verwenden kann, wovon die entscheidenden Anwendungen ohnehin mehr profitieren?

HOT
2022-12-26, 14:22:41
Je energieeffizienter und kleiner die p-Kerne werden, desto sinnloser werden die e-Kerne. Bei Fishhawk Falls sieht man ja schon, dass Intel den p-Kernen wieder mehr vertraut (24C auf einem Die), bei Granite Falls (ich mein MLID hätte den Nachfolger so genannt) wird das sicherlich in Intel4 so bleiben. Wenn man das Ganze dann in Intel3 oder 20A weiterführt, könnte man diese e-Kern-Schwemme im Desktop wieder beenden. Im Mobilsegment sind die e-Kerne hingegen auch weiterhin effektiv.

ryan
2022-12-26, 14:32:03
Forenname: Spekulationen


Er redet von seinem Wissen. Er kann doch trotzdem seine Quelle für sein Wissen uns mitteilen, oder wo ist das Problem? Klar ist das reinste Spekulation von ihm, dann sollte er nur nicht von Wissen reden. Intel selber hat sich noch nicht genau geäußert. Intel nennt in der Folie 20A und TSMC 3nm....für was genau eben nicht. Es wurde immer nur angenommen, weil es naheliegender wäre, Alchemist wird mit TSMC gefertigt.

Das einzige konkretere und aktuellere aus der Spekulationsküche kommt von Raichu, der was Intel angeht bekanntlich eine sehr hohe Trefferquote hat.


[Rumor]
Arrow lake-S
TSMC N3 series process.
Arrow lake-P
INTC 20A series process.
https://twitter.com/oneraichu/status/1583277425944690688

iamthebear
2022-12-28, 03:11:13
Woher nimmst du dein Wissen?

Ich finde gerade aktuell den Link nicht aber die letzten Tage ist mir eine Intel Roadmap über den Weg gelaufen, die besagte:

2021: Alder Lake Intel 7
2022: Raptor Lake Intel 7
2023: Meteor Lake Intel 4 + External N5
2024: Arrow Lake Intel 20A + External N3

Bei Meteor Lake wissen wir ja schon, dass es eine Kombination aus Intel Compute Die + TSMC GPU sein wird.
Warum sollte es bei Arrow Lake anders sein?
Das macht auch absolut keinen Sinn den gesamten Compute Die 2 Mal zu designen. Bei aktuellen TSMC Nodes bedeutet dass: 2 komplette Teams bzw. dürfen die Designer der TSMC Chips 2 Jahre lang nichts mit anderen Nodes designen.
Bei den GPUs ist das ja kein Problem. Hier hat man ja die IP schon für die eigenen Nodes und seit Arc auch für TSMC N6. Das muss nur mehr geshrinked werden was wieder dieselben Designer machen können.

Wo war denn die Rede von Granite Rapids? :confused:

Man kann das auch so lassen, uns stattdessen Granite Falls in den Marktbereich schieben.

Was hast du denn mit "Granite Falls" gemeint außer die HEDT Variante von Granite Rapids deren Namen wir noch nicht kennen?

Wenn man ehrlich ist, macht 6+16 für 98% der Anwender aktuell eh keinen wirklichen Sinn.

Bei MTL kommen mal wieder neue E-Kerne, und auf früheren Intel-Folien war davon die Rede, dass die E-Kerne je Generation ca. 30% IPC gegenüber dem Vorgänger bringen sollen (dafür halt nur alle 2-3 Jahre eine neue Gen).
Die P-Kerne von MTL bekommen ebenfalls IPC-Verbesserungen.

Die Frage ist, ob mit der Architektur auch dann dieselben Taktraten möglich sind (fertigungsneutral betrachtet).

Ich erinnere hier an die Core 2 Architektur aus dem Jahr 2006:
Doppelte IPC und deutlich geringerer Energieverbrauch aber der Takt ist um 30% eingebrochen. Gut möglich, dass Intel hier etwas ähnliches vor hat nur nicht ganz so extrem.

Wozu da Energie und Fläche für weitere 8 E-Kerne verbraten, wenn man die Energie auch einsparen oder für nochmal 200-300 MHz mehr Takt verwenden kann, wovon die entscheidenden Anwendungen ohnehin mehr profitieren?

Das muss sich ja nicht unbedingt widersprechen siehe 13900:
Wenn 32 Threads genutzt werden nutzt man die 8 zusätzlichen e Cores und ist schneller.
Wenn keine 32 Threads genutzt werden sind die E Cores Idle und brauchen somit auch nicht viel Energie d.h. man kann die 200-300MHz dann in Form von ST Boost nutzen.

Je energieeffizienter und kleiner die p-Kerne werden, desto sinnloser werden die e-Kerne. Bei Fishhawk Falls sieht man ja schon, dass Intel den p-Kernen wieder mehr vertraut (24C auf einem Die)

Fishhawk Falls ist doch nur ein abgespeckter Saphire Rapids, der als HEDT verkauft wird. Server/Workstation sind immer entweder P Cores oder E Cores (Sierra Forest). Ich vermute das liegt daran, dass es dann bei diversen Virtualisierungslösungen zu spießen anfängt.

Und ich denke, dass der Trend bei P vs. E Cores eher noch mehr voneinander weg gehen wird: P Cores werden größer aber nicht mehr, E Cores werden mehr aber nur bedingt größer.

Mit Energieeffizienz hat das wenig zu tun. Dafür sind die E Cores schon jetzt unbrauchbar.
E Cores sind optimiert auf Performance/Fläche, P Cores auf Performance/Kern. E Cores sind gut für MT Aufgaben, P Cores für ST Aufgaben. So viel zumindest die Theorie. Da die P Cores aktuell 2.5x so schnell sind bei 3x Platzverbrauch kann man die Sinnhaftigkeit schon etwas in Frage stellen.

Das Problem, das ich in der Praxis bei der Energieefizienz sehe:
Sobald auf einem Kern etwas Hintergrundlast ist boosten ALLE Kerne rauf bis auf das Maximum wodurch der Idle Energieverbrauch in die Höhe schießt. Für Desktop ziemlich egal, bei Notebooks im Akkubetrieb aber nervig.
Ich drehe bei meinem 12700H im Batteriebetrieb meistens den Turbo ab.

, bei Granite Falls (ich mein MLID hätte den Nachfolger so genannt) wird das sicherlich in Intel4 so bleiben. Wenn man das Ganze dann in Intel3 oder 20A weiterführt, könnte man diese e-Kern-Schwemme im Desktop wieder beenden. Im Mobilsegment sind die e-Kerne hingegen auch weiterhin effektiv.

Ich weiß nicht, was du mit "Granite Falls" meinst. Wenn es der HEDT Ableger von Granite Rapids ist, dann ist das Intel 3 und nur P Cores.

HOT
2022-12-28, 10:17:44
Meine Güte, was ein anstrengendes Massenzitat.

Ich machs mal einfach:
- FHF und SR sind unterschiedliche Projekte, die nichts miteinander zu tun haben.
- Intel wirft beide Produkte in einen Topf und nennt das SR-X.
- Xeon 2k -> FHF (monolithisch, bis zu 20C, 24 hat das Die)
- Xeon 3k -> SR
- FHF hat einen Nachfolger in offenbar Intel4, ich hab den Granite Falls genannt. Ob der so heißt ist irrelevant, es wird ihn sehr sicher geben.


Ich verstehe nicht, wie man ernsthaft immer noch diese Zeitpläne, die Intel da feilbietet, glauben und nachbeten kann. Das ist so ein offensichtlicher Unsinn.

Mobil: ADL-P -> 1H 2022, RPL-P -> 1H 2023, MTL-P -> 1H 2024, ARL-P -> 1H 2025
Server: SR-> 2H 2023, GR -> 2H 2024, DR -> 2H 2025
WS: FHF -> 1H 2023, GRF -> 1H 2024
Desktop: ADL-S -> 2H 2021, RPL-S -> 2H 2022, RPL-R -> 2H 2023, MTL-S -> 2H 2024, ARL-S -> 2H 2025

Und das alles im allergünstigsten Fall!
Es wird kein Intel4 in 23 geben, es wird kein 20A in 24 geben. Aber die Hoffnung stirbt ja zuletzt offensichtlich :freak:

CrazyIvan
2022-12-28, 12:34:26
Nunja, ich glaube immer noch an einen IceLake artigen Start von MTL in Q4 23 - nur wenige Modelle ausgewählter OEMs mit schlechter Verfügbarkeit und nicht in allen Belangen der vorherigen Generation überlegen.
Bei ARL auf 20A in 24 bin ich allerdings auch eher skeptisch. Intel kann froh sein, wenn Intel3 in 24 vernünftig läuft.

ryan
2022-12-28, 13:20:23
Ich finde gerade aktuell den Link nicht aber die letzten Tage ist mir eine Intel Roadmap über den Weg gelaufen, die besagte:


2024: Arrow Lake Intel 20A + External N3


Dann gib einfach mal den Link. Das wird eine selfmade sein, also von jemanden in seinem Glauben erstellt und damit wertlos. Die kann jeder erstellen, das macht kein Unterschied. Außer es kommt von Raichu oder jemanden, der in der Vergangenheit öfter richtig lag und tatsächlich Insider Infos besitzt. Von Intel gibt es keinerlei Bestätigung.



Bei Meteor Lake wissen wir ja schon, dass es eine Kombination aus Intel Compute Die + TSMC GPU sein wird.
Warum sollte es bei Arrow Lake anders sein?


Das ist schon der Fehler, du gehst komplett falsch ran. Meteor ist nicht Arrow. Im übrigen hat Intel schon vor Jahren verlautbaren lassen, dass sie ihre CPU Designs nicht mehr an einen Prozess binden wollen, weil das ihnen in den 14nm Jahren auf die Füße gefallen ist. Mit der kommenen Chiplet Ära bietet sich das ja noch mehr an als in der Single Chip Ära. Es muss nicht mehr der komplette Chip im neuesten und teuersten gefertigt werden. Das große Soc Chiplet kommt in TSMC 6nm.

Intel CPUs mit TSMC Fertigung, diese Gerüchte gibt es im übrigen schon länger. Das muss jetzt nicht unbedingt so kommen bei ARL - bestätigt ist noch nichts - die Argumente dagegen machen nur kein Sinn.

iamthebear
2022-12-28, 18:53:45
Meine Güte, was ein anstrengendes Massenzitat.

Ich machs mal einfach:
- FHF und SR sind unterschiedliche Projekte, die nichts miteinander zu tun haben.
- Intel wirft beide Produkte in einen Topf und nennt das SR-X.
- Xeon 2k -> FHF (monolithisch, bis zu 20C, 24 hat das Die)
- Xeon 3k -> SR
- FHF hat einen Nachfolger in offenbar Intel4, ich hab den Granite Falls genannt. Ob der so heißt ist irrelevant, es wird ihn sehr sicher geben.

Ich verstehe nicht, wie man ernsthaft immer noch diese Zeitpläne, die Intel da feilbietet, glauben und nachbeten kann. Das ist so ein offensichtlicher Unsinn.

Mobil: ADL-P -> 1H 2022, RPL-P -> 1H 2023, MTL-P -> 1H 2024, ARL-P -> 1H 2025
Server: SR-> 2H 2023, GR -> 2H 2024, DR -> 2H 2025
WS: FHF -> 1H 2023, GRF -> 1H 2024
Desktop: ADL-S -> 2H 2021, RPL-S -> 2H 2022, RPL-R -> 2H 2023, MTL-S -> 2H 2024, ARL-S -> 2H 2025

Und das alles im allergünstigsten Fall!
Es wird kein Intel4 in 23 geben, es wird kein 20A in 24 geben. Aber die Hoffnung stirbt ja zuletzt offensichtlich :freak:[/QUOTE]

Ohne jetzt darüber zu streiten, was der Unterschied zwischen SR und Fishhawk Falls ist:
Der Punkt ist sie sind beide hoffnungslos verspätet und hinken Alder Lake trotz gleicher Fertigung um 1.5-2 Jahre hinterher.

Ich will nicht behaupten, dass die Intel 4 Pläne für 2023 halten. Was ich dir aber sicher sagen kann: Die Datacenter/Workstation Dies in Intel 3 werden mit Sicherheit erst nach den ersten Intel 4 Desktop Dies kommen und zwar sehr viel später.

Ich würde mal so grob schätzen:
2023: Vorstellung der Mobile Dies (Verfügbarkeit darauf basierender Produkte ist wieder ein eigens Thema)
2024: Möglicherweise Release einzelner mobile SKUs für Desktop aber nicht High End
2025: Refresh mit schnellen Intel 4 Desktop Dies, Arrow Lake Mobile
2026: Granite Falls/Rapids in Intel 3, Arrow Lake Desktop

Dann gib einfach mal den Link. Das wird eine selfmade sein, also von jemanden in seinem Glauben erstellt und damit wertlos. Die kann jeder erstellen, das macht kein Unterschied. Außer es kommt von Raichu oder jemanden, der in der Vergangenheit öfter richtig lag und tatsächlich Insider Infos besitzt. Von Intel gibt es keinerlei Bestätigung.

So endlich gefunden:
https://www.servethehome.com/wp-content/uploads/2022/08/HC34-Intel-Meteor-Lake-Scalable-to-Arrow-and-Lunar-Lake.jpg

Früher gab es eine ähnliche Version, wo MTL und Arrow Lake noch gemeinsam dargestellt wurden:
https://www.pcgameshardware.de/CPU-CPU-154106/News/IntelMeteorLakeundArrowLakesollenGPU-Chipletsnutzen-1389626/galerie/42/

Das ist schon der Fehler, du gehst komplett falsch ran. Meteor ist nicht Arrow. Im übrigen hat Intel schon vor Jahren verlautbaren lassen, dass sie ihre CPU Designs nicht mehr an einen Prozess binden wollen, weil das ihnen in den 14nm Jahren auf die Füße gefallen ist. Mit der kommenen Chiplet Ära bietet sich das ja noch mehr an als in der Single Chip Ära. Es muss nicht mehr der komplette Chip im neuesten und teuersten gefertigt werden. Das große Soc Chiplet kommt in TSMC 6nm.

Intel plant nun für jede Architektur eine Backportmöglichkeit zum jeweiligen Vorgängerprozess d.h. statt Intel 20A könnte auch Intel 4 eingesetzt werden, statt TSMC N3 kann auch N5 eingesetzt werden. Dasselbe hat man ja bereits mit Rocket Lake gemacht. Das ist auch ein 10nm Ice Lake Design rückportiert auf 14nm nur hat es viel zu lange gedauert.
Wie das herstellerübergreifend funktionieren soll wenn die Teams nicht miteinander reden dürfen ist mir ein Rätsel und ich glaube kaum, dass Intel als Foundry Mitbewerber lockerere Auflagen bekommt als Nvidia/AMD ohne eigene Fertigung.

Was man mit TSMC abfedert sind die Kapazitätsprobleme wenn die Yields der neuen Fertigung nicht so toll laufen und man außer einem kleinen Compute Die nicht viel raus bekommt bzw. man gar nicht genug EUV Kapazitäten hat.

Was man so hört scheint dies jedoch in erster Linie eine politische Entscheidung von Bob Swan gewesen zu sein, der so den Einfluss der Leute aus der Fertigung schwächen wollte, da er sich genenüber denen mangels Fachkompetenz nie durchsetzen konnte und nun muss Pat aus den verkorksten Entscheidungen von damaps das Beste draus machen.

Intel CPUs mit TSMC Fertigung, diese Gerüchte gibt es im übrigen schon länger. Das muss jetzt nicht unbedingt so kommen bei ARL - bestätigt ist noch nichts - die Argumente dagegen machen nur kein Sinn.

Meteor Lake stammt doch schon großteils aus TSMC Fertigung, nur eben nicht der Compute Die. Dass für diesen auch Pläne gibt, dafür gibt es noch keine konkreten Hinweise und es macht auch absolut keinen Sinn.
Das Einzige, was ich mir vorstellen könnte ist ein kompletter Schnitt wie bei AMD/GF, dass nur mehr bei TSMC gefertigt wird und man mit den Fabs nur mehr Foundry spielt für alte Nodes und gar nichts mehr neues entwickelt.

ryan
2022-12-29, 13:47:13
So endlich gefunden:
https://www.servethehome.com/wp-content/uploads/2022/08/HC34-Intel-Meteor-Lake-Scalable-to-Arrow-and-Lunar-Lake.jpg

Früher gab es eine ähnliche Version, wo MTL und Arrow Lake noch gemeinsam dargestellt wurden:
https://www.pcgameshardware.de/CPU-CPU-154106/News/IntelMeteorLakeundArrowLakesollenGPU-Chipletsnutzen-1389626/galerie/42/



Tja und dort steht nichts von einem Compute Die mit 20A. Wie gesagt, Intel selber hat nirgends bestätigt, welcher chiplet welchen Prozess genau verwendet.

iamthebear
2022-12-29, 20:42:39
Nur wird es exakt so dargestellt wie bei Meteor Lake. Und Meteor Lake ist Intel Compute Die mit Rest TSMC, was die Vermutung nahe legt, dass es bei Arrow Lake auch so ist.

Klar man kann jetzt wild spekulieren, dass vielleicht der iGPU Die in 20A gefertigt ist und der Compute Die in N3 aber das ergibt keinen Sinn und 2 verschiedene Hersteller für einen Compute Die kann man auch ausschließen.

Ich würde das einmal umdrehen:
Gibt es irgendeine seriöse Quelle oder zumindest irgendein Indiz, dass Intel plant Compute Dies bei TSMC zu fertigen? Mir ist da noch nichts bekannt.

ryan
2022-12-30, 02:17:32
Nur wird es exakt so dargestellt wie bei Meteor Lake. Und Meteor Lake ist Intel Compute Die mit Rest TSMC, was die Vermutung nahe legt, dass es bei Arrow Lake auch so ist.


Die Betonung liegt auf vermuten. Am Ende des Tages hat Intel nirgends etwas bestätigt.



Ich würde das einmal umdrehen:
Gibt es irgendeine seriöse Quelle oder zumindest irgendein Indiz, dass Intel plant Compute Dies bei TSMC zu fertigen? Mir ist da noch nichts bekannt.


Ja von Raichu gibt es die. Das wurde mehrfach erwähnt und verlinkt, einfach mal lesen. Er hat eine Trefferquote von über 90%. Von daher ist die Wahrscheinlichkeit das es so kommt sehr hoch. Es gibt keine bessere "Speku Quelle" als Raichu, was Intel angeht. Aus der Sicht Intels würde es Sinn machen.

Wenn man von 20A für Desktop ausgeht, ist eine Desktop Version in 2024 fraglich. Mobile vielleicht in 2024, die müssen nicht so hoch takten und die größte 8+16 Version braucht es auch nicht. Bei schwacher Ausbeute könnte Intel einfach kleinere Modelle für Notebook zuerst bringen.

Im Desktop würde Intel über 2 Jahre mit leeren Händen dastehen. Außerdem kann mit 20A noch einiges schiefgehen, was dann? Warten bis 2026? Mit TSMC 3nm wäre ARL-S rein prozessseitig mindestens ein Halbjahr früher möglich. Alles andere als abwegig.

Hakim
2022-12-31, 18:12:08
Meint ihr das der jetzt angeblich kommende Raptor Lake Refresh CPUs für 23, auch auf Z690 Mobos mit DDR4 laufen werden? :).

w0mbat
2023-02-11, 13:02:47
Desktop MTL anscheinend gecanceled, bzw. wird es auch im desktop nur das mobile die geben.

BNXlRdAKWTE

HOT
2023-02-11, 13:09:06
Meint ihr das der jetzt angeblich kommende Raptor Lake Refresh CPUs für 23, auch auf Z690 Mobos mit DDR4 laufen werden? :).
Sieht schwer so aus. RPL Refresh dient offenbar als Ersatz für MTL-S.
Im Klartext heißt das einfach:
1.) MTL ist nicht so viel schneller von der IPC her
2.) Der Takt passt einfach nicht bei der angepeilten TDP
Auf Deutsch: Der ist zu langsam für S und man versucht die anderen Produkte möglichst auf Kurs zu halten ohne Ressourcen dann dafür zu verschwenden. Man nimmt auf Desktop einfach keine Rücksicht.

Daher wurde zuerst der 8+16 gecancelt und später dann auch der als Ersatz gedachte 6+16.
Übrig bleibt die Mobilvariante 6+8.

Weiter gehts bei ARL in 25 oder es gibt noch was ganz anderes, was wie das berühmte Kaninchen plötzlich aus dem Hut gezaubert wird. Ich hab da so einen Verdacht:
nache Quartalszahlen werden absolut unterirdisch -> riesige Entlassungswelle (bestimmt 30k Mitarbeiter) -> Streckung des Portfolios, etliche Streichungen und Neuausrichtungen, neue Roadmaps, noch mehr Konzentration auf Fremdfertigung -> Pat nimmt seinen Hut.

Was ich echt schade finde, ist, dass FHF (alias SR 64) auch gar nichts zu taugen scheint. Schade.

ryan
2023-02-11, 14:10:45
Weiter gehts bei ARL in 25 oder es gibt noch was ganz anderes


Dazu gibt es keine Quelle - Intel sagt 2024. Wir hatten das Thema schon mehrmals. Siehe TSMC 3nm und ARL-S.

iamthebear
2023-02-11, 14:39:36
Man braucht sich ja nur die letzten 2 Intel Nodes ansehen:

2014: Erste 14nm CPUs mit 5W
2015: Erste 14nm Broadwell CPUs Laptop + ein paar kleine Desktopvarianten
2016: 14nm Desktop Flagship

2018: Cannon Lake => Zählt für mich als misslungener Testchip
2019: Ice Lake 15W
2020: Tiger Lake 15-35W
Anfang: 2021: Tiger Lake 45W+
Ende 2021: Desktop Flagship

Jetzt mal weitergedacht für 4/3nm:
2023: MTL 15W-35W (2+8 bis 6+8)
2024: 45W Modelle + ein paar kleinere Desktops
2025: Desktop Flagship?

Für 20/18A:
2024: Launch als 5-15W Lunar Lake
2025: Launch Arrow Lake 15-35W für Laptop?
2026: Desktop Flagship?


Es würde mich stark wundern wenn Arrow Lake 2024 mit 20A launched und gleich sofort die vollen Taktraten von 6GHz schafft. Das war die letzten Jahre nie der Fall weder bei Intel noch bei TSMC/Samsung. Da wurden neue Nodes immer zuerst in kleinen Mobile CPUs verwendet, die vom niedrigeren Energieverbrauch profitiert haben.

reaperrr
2023-02-11, 14:46:56
Im Klartext heißt das einfach:
1.) MTL ist nicht so viel schneller von der IPC her
2.) Der Takt passt einfach nicht bei der angepeilten TDP
Auf Deutsch: Der ist zu langsam für S und man versucht die anderen Produkte möglichst auf Kurs zu halten ohne Ressourcen dann dafür zu verschwenden. Man nimmt auf Desktop einfach keine Rücksicht.

Daher wurde zuerst der 8+16 gecancelt und später dann auch der als Ersatz gedachte 6+16.
Übrig bleibt die Mobilvariante 6+8.

Die IPC soll laut RGT >15% bei den P-Kernen und ~25% bei den E-Kernen über RTL liegen, Punkt 1 stimmt für sich gesehen also scheinbar nicht.

ABER: MTL ist das erste nennenswerte Produkt, welches Intel 4 nutzt, und ich wette, dass Intel 7 inzwischen so viel ausgereifter ist (gerade, was erreichbare Taktraten angeht), dass wir hier einfach wieder die Situation wie z.B. bei Broadwell und Ice Lake haben und MTL gerade bei den P-Kernen relativ weit von den RTL-Taktraten entfernt ist, bei gleichzeitig noch relativ schlechter Yield-Rate je mm².

Außerdem deutet sehr viel darauf hin, dass Intel 4 nur eine Übergangslösung und relativ zügig abgelöst wird (von Intel 3, 20A und evtl. manche Produkte TSMC N3E/N3P) und dementsprechend evtl. gar nicht genug Intel4-Fab-Kapazität online geht, um gleichzeitig Mobile und Desktop bedienen zu können.

ryan
2023-02-11, 14:47:21
Für 20/18A:
2024: Launch als 5-15W Lunar Lake
2025: Launch Arrow Lake 15-35W für Laptop?
2026: Desktop Flagship?



Lunar Lake vor Arrow Lake? Das würde ich bezweifeln. Lunar Lake hat zwar die gleichen CPU Kerne, der Soc und die iGPU sind aber neu.

Derzeit würde ich davon ausgehen:

ARL-S 2024 TSMC 3nm
ARL-P Intel 20A 2024/2025
Lunar Lake 20A/18A/3nm 2025

CrazyIvan
2023-02-11, 22:36:51
@reaperrr
Intel3 ist im Vergleich zu Intel4 ja nur ein Half-Node mit vervollständigtem PDK - die Produktionsanlagen werden sich nicht signifikant unterscheiden.

latiose88
2023-02-11, 23:17:03
@reaperrr
Dann wird halt intel so Verfahren die Mobile Variante auf Intel 4 und die Desktop CPUS auf TSMC N3E/N3P.
Damit würde Intel also auf die Sichere Lösung gehen.Das kostet zwar dann Geld aber ansonsten könnte Intel ja den Markt nicht bedinen.So löst man Engpass eben oder aber Intel macht ein Mix aus Intel 7,Intel 4 und TSMC.Wäre also auch eine Option.Die kleinen Desktop CPUS bekämen dann Intel 7 Fertigung und die Highend der Mainstream Plattform das von TSMC.Dadurch müsste Intel nicht so eine große Stückzahl buchen und würde sich dann Geld sparen.

Wie es sein wird,muss sich erst noch zeigen.

Edgecrusher86
2023-02-12, 10:46:50
Meint ihr das der jetzt angeblich kommende Raptor Lake Refresh CPUs für 23, auch auf Z690 Mobos mit DDR4 laufen werden? :).

OT: Davon sollte man ausgehen können, dass entsprechende Updates erscheinen. In der Praxis darf man sich dann natürlich nicht mehr als K auf KS erwarten. Viel mehr als + 200 MHz dürfte es wohl nicht geben.


Hm, da Zen 5 Ende 2024 kommt, sollte Intel alles daran setzen, spätestens H1 2025 Arrow Lake Desktop zu bringen - und im Umkehrschluss auch bis Ende 2024 MTL Desktop Core i7, i5 und i3.

HOT
2023-02-12, 11:00:04
lt. Zeitplan müsste Zen5 spätestens so im Frühsommer 24 erscheinen, wenn alles gut geht. Zen4 brauchte 2 Respins. Wenn ARL-S tatsächlich N3 ist, dann dürfte der auch noch 24 erscheinen.

robbitop
2023-02-12, 11:31:04
Woher ist die Information dass Zen 4 zwei Respins brauchte? Ist das C Silizium (2x silicon respins) oder A2 (2x respins für die Verdrehtungsebenen) oder B1 (jeweils ein respin?).m

edit: B2 laut screenshots. Also 1x silicon respin und 2 weitere für die Verdrahtung. Das ist schon fett. Das hat bestimmt locker 6 Monate gekostet

CrazyIvan
2023-02-12, 11:31:33
Die Zen5 Timeline bekomme ich im Kopf sowieso nicht klar. Angeblich soll Server und auch Desktop irgendwann in 24H1 starten. Gleichzeitig soll Strix Point bereits auf Zen5 basieren und wieder wie üblich zur CES debütieren. Bisher wurde eine neue Generation jedoch nie Mobile First präsentiert. Wie passt das zusammen?

robbitop
2023-02-12, 11:35:07
Die Zen5 Timeline bekomme ich im Kopf sowieso nicht klar. Angeblich soll Server und auch Desktop irgendwann in 24H1 starten. Gleichzeitig soll Strix Point bereits auf Zen5 basieren und wieder wie üblich zur CES debütieren. Bisher wurde eine neue Generation jedoch nie Mobile First präsentiert. Wie passt das zusammen?
Ggf sind APUs dann auch chiplet basiert?

HOT
2023-02-12, 12:16:30
Die Entwicklungszyklen unterscheiden sich ja. APUs müssen halt 1x im Jahr erscheinen. Ich nehme an, dass die Zen5-APU aber erst erscheinen wird, wenn Zen5c erscheint, da diese ja vermutliche beide N3e nutzen werden und Zen5c (mit) beinhalten werden, also erst im 2.HJ. Wie es bisher aussieht ist das Zen5-CCD für die CPUs ja N4(X), nicht N3, was für einen frühen Release sprechen würde. Das kann sich wie bei Zen4 aber eben auch verzögern, kommt darauf an, wie es läuft. Ich meinte die 2 Metalspins, jo. In der Roadmap stand Zen5 ja mit 4 und 3nm.

Da RPL-P ja erst zur CES vorgestellt wurde, würde ich bei MTL-P auch darauf tippen. Für Desktop gibts ja wie gesagt RPL-R, dafür dann aber von top bis down. Bis dahin wird man auch keine ADLs mehr auf Lager haben, die man irgendwie loswerden muss, wie jetzt <=13600.

Wie MLID ja im Moment dauernd anteasert, ist es auch mMn so (ich finds halt plausibel), dass Intel konsequent Projekte streicht, die nicht gut funktionieren und sich verzögern und sich auf die Projekte konzentriert, die man unbedingt pünktlich braucht. Dazu gehören eben die P-Prozessoren aber auch Sierra Falls und Granite Falls (wenn auch evtl. in abgespeckter Form). Die Desktop-CPUs haben leider nur ne geringe Priorität. Bei AMD ist das anders, weil die Chiplets ja im Server und Desktop eingesetzt werden. Ab Zen5 könnt ich mir ebenfalls vorstellen, dass man das da nicht so machen wird wie beim jetzigen HX. Der neue HX wird mMn das Zen5c-Chiplet verwenden.

CrazyIvan
2023-02-12, 13:28:58
@HOT
Alles schön und gut. Aber AMD wird auf der CES sicher nicht "nichts" vorstellen wollen. Und wenn es eine Zen4 Refresh APU gäbe, dann hätte man doch sicher bereits davon gehört, oder? Also nicht falsch verstehen: Ich Stelle keine Deiner Aussagen infrage, ich bekomme es nur nicht klar.

amdfanuwe
2023-02-12, 14:55:24
@CrazyIvan
ich reim mir folgendes zusammen:
ZEN 5 Server Frühjahr 2024
Da sich im Frontend, also I/O, einiges ändert und Server eh etwas später kommt, braucht es dafür länger.
Desktop könnte somit schon Anfang 2024 kommen., evtl. schon (31) Dez. 2023 Vorstellung :-)
Mobile dürfte wieder single Chip sein und da kommt es auf Effizienz an.
Da dort hohe Taktraten nicht so ausschlaggebend sind, könnte dieser entsprechend früher fertig sein.
Für Desktop und Server etwas mehr Zeit für Performance Optimierung und I/O.
----------

ZEN 4 Server kam doch später, weil auf den letzten Drücker noch CXL implementiert wurde. Da Intel nicht drängelte, hat man sich die Zeit genommen.
Bei

CrazyIvan
2023-02-12, 17:23:07
@amdfanuwe
Ja, möglich ist das schon. Aber dieses völlige Abweichen von der Norm bei AMD seit was, Raven Ridge anno 2017, würde mich schon wundern. Auf der anderen Seite wäre das wohl wirklich das realistischste Szenario.

Hakim
2023-02-12, 19:57:04
Das würde 3 CPU Gens auf den selben Sockel/Chipsatz machen. Lang lang her bei Intel

HOT
2023-02-21, 15:46:54
https://www.computerbase.de/2023-02/intel-meteor-lake-von-verschiebung-im-notebook-bis-einstellung-im-desktop/

Ich sags ja nicht gerne: Ich habs euch gesagt schon Mitte 2022 ;D. Ich war aber dennoch zu optimistisch, das ist das Schlimme dabei.

- MTL Desktop ist endgültig tot (ich hab mit Mitte 24 spekuliert)
- MTL Notebook verschiebt sich wahrscheinlich auf Q2 2024 (ich hatte mit CES gerechnet)
- ARL-Produkte nicht vor Mitte 25 (war meine Annahme von Anfang an). Dazu gibts sogar konkretes: Intel hat die Bestellung der iGPU bei TSMC auf Ende 2024 verschoben. Es ist hier also klar, dass man vor Mitte 25 nicht mit ARL rechnen kann.

Ich prognostiziere erneut: LNL und Panter Lake dürfte vor 26 nichts werden.

Ich vermute ganz stark, dass man für FHF einen Nachfolger basteln wird (oder vielleicht sogar einen LCC) auf Emerald Rapids-Basis, der dann in den Desktop sickern wird als Überganglösung.

Also:
Q3 2023 -> RPL-R (Top -> Down mit Notebook RPLs nach unten hin)
Q3 2024 -> EMR-"S" (LCC oder MCC) auf Sockel 1851 (wilde Speku meinerseits, Mal sehen, was da tatsächlich und auch darunter kommt)
Q3 2025 -> ARL-S auf 1851

ryan
2023-02-21, 15:56:26
Laut Raichu kommt MTL-S als 6+8 Variante für das lowend-midrange: https://twitter.com/OneRaichu/status/1627899433865338880

Intel cancelt den nativen 6+16 Chip, der exclusiv für Desktop gedacht war.

amdfanuwe
2023-02-21, 16:01:24
Erinnert an AMD damals, als die Bulldozer aufgegeben haben und sich nur mit APUs über Wasser hielten.

HOT
2023-02-21, 16:31:02
Laut Raichu kommt MTL-S als 6+8 Variante für das lowend-midrange: https://twitter.com/OneRaichu/status/1627899433865338880

Intel cancelt den nativen 6+16 Chip, der exclusiv für Desktop gedacht war.

Das passt dann ja noch besser: Sockel 1851 MTL für Low-End bis Midrange und darüber ein Ersatzprodukt.

Erinnert an AMD damals, als die Bulldozer aufgegeben haben und sich nur mit APUs über Wasser hielten.

Ich glaub aber nicht, dass Intel ohne Ersatzprodukt da durch geht. Dann gibts halt native 12 und 16C, das aus dem Serversegment zweckentfremdet wird oder irgendwas anderes. Irgendwas muss man ja haben, denn man darf die großen Marken nicht ohne Produkt darstehen lassen, sonst überlässt man grad bei den OEMs AMD komplett das Feld, was auch auf andere Märkte durchschlagen dürfte.

ryan
2023-02-21, 16:37:16
Das passt dann ja noch besser: Sockel 1851 MTL für Low-End bis Midrange und darüber ein Ersatzprodukt.



Arrow Lake kommt fürs Highend. Eigentlich wie anfangs mal gedacht.

HOT
2023-02-21, 16:43:15
Arrow Lake kommt fürs Highend. Eigentlich wie anfangs mal gedacht.

Wars eben nicht, das hast du dir nur zusammenspekuliert (wie viele andere), aber das stimmt einfach nicht. ARL ist eine komplette, eigene Generation, genau wie MTL gedacht war. Dieses ganze Gerede von wegen "ARL ist das High-End von MTL" ist völlig haltlos, entbehrt jeder Grundlage und ergibt auch einfach keinen Sinn, weil das schlichtweg zwei aufeinanderfolgende Fertigungsgenerationen sind. Erst das Eine, dann das Andere, aber nicht zusammen. Wenn ARL so früh verfügbar wäre, warum dann noch MTL? Das ergibt einfach keinen Sinn.
MMn kam das Mißverständnis nur aufgrund Intels bescheuerter Folie auf, in denen alles in einem Jahr stattfinden sollte. Der ursprüngliche Marketingplan war: ADL (22) -> MTL (23) -> ARL (24). Hat wie vorher schon erwähnt was von AMDs schlimmsten Zeiten. Erinnert sich noch wer an Komodo (ursprüngliche Piledriver)?

Aber meine Speku hat auch nen Haken: Ich hab grad gesehen, dass FHF (SR "M"CC) satte 770mm² auf die Waage bringt :freak:. Das müsste dann Ersatzdie eigens für Desktop werden oder ein RPL Refresh-Refresh ;). Oder nach Vishera (Raptor Lake :D) kommt nix mehr :freak:.

Complicated
2023-02-21, 17:17:52
Das klingt alles nach weiteren Prozessproblemen mit Intel4. Das hat mit Sicherheit auch Auswirkungen auf alle Intel3-Pläne, der ja auf den Intel4 Prozess aufsetzt. Da wird einiges womöglich durch die ganze Roadmap weiter nach hinten geschoben.


Edit: Wieso steht eigentlich in der Threadüberschrift "4nm" MTL zugeordnet?
Intel4=7nm
https://www.pcgameshardware.de/CPU-CPU-154106/News/Intel-Meteor-Lake-fertigungsreif-1409050/
Intels 7nm-Fertigungstechnologie namens Intel 4, ist "heute" einsatzbereit und wird nächstes Jahr für die nächste Generation der Meteor Lake-Prozessorfamilie verwendet.

y33H@
2023-02-21, 17:38:58
Dieses ganze Gerede von wegen "ARL ist das High-End von MTL" ist völlig haltlos, entbehrt jeder Grundlage und ergibt auch einfach keinen Sinn, weil das schlichtweg zwei aufeinanderfolgende Fertigungsgenerationen sind. Erst das Eine, dann das Andere, aber nicht zusammen.MTL-S und ARL-S nutzen den gleichen Sockel, vll sharen sie auch andere gewisse Gemeinsamkeiten =)

amdfanuwe
2023-02-21, 17:50:32
Ich glaub aber nicht, dass Intel ohne Ersatzprodukt da durch geht. Dann gibts halt native 12 und 16C, das aus dem Serversegment zweckentfremdet wird oder irgendwas anderes. Irgendwas muss man ja haben, denn man darf die großen Marken nicht ohne Produkt darstehen lassen, sonst überlässt man grad bei den OEMs AMD komplett das Feld, was auch auf andere Märkte durchschlagen dürfte.
Keine Angst, ich denke du überschätzt da den Markt für High End Prozessoren.
Gibt zwar gute Presse und gute Marge aber der Umsatz wird eher im Mobile und mit max 6P+x gemacht.
Muss sich Intel halt mal von den gewohnten Gewinnmargen verabschieden.
Dafür sind die FABs ausgelastet.
So lange AMD noch bei Produkten mit hohen Margen wachsen kann, werden die den "billig" Sektor vernachlässigen.

ryan
2023-02-21, 18:03:25
Wars eben nicht, das hast du dir nur zusammenspekuliert (wie viele andere), aber das stimmt einfach nicht. ARL ist eine komplette, eigene Generation, genau wie MTL gedacht war. Dieses ganze Gerede von wegen "ARL ist das High-End von MTL" ist völlig haltlos, entbehrt jeder Grundlage und ergibt auch einfach keinen Sinn, weil das schlichtweg zwei aufeinanderfolgende Fertigungsgenerationen sind.


Wie von y33H@ bereits angemerkt sharen MTL und ARL den gleichen Sockel und natürlich wird Arrow Lake auf dem Sockel das highend anbieten, oder mit was sonst? Wenn überhaupt, entbehrt deine Behauptung jeglicher Grundlage. Übrigens hat keiner behauptet ARL wäre das Highend von MTL, so ein Quatsch. ARL ist ARL und MTL ist MTL. Arrow Lake bekommt u.a neue Kerne und es sieht wohl so aus, als wenn Intel erst mit Arrow Lake ewas neues fürs highend anbietet. Entbehrt jeder Grundlage, das ich nicht lache.



Erst das Eine, dann das Andere, aber nicht zusammen. Wenn ARL so früh verfügbar wäre, warum dann noch MTL?


Da kann es viele Gründe geben. Warum gibt es bei AMDs mobilen lineup so viele unterschiedliche Generationen auf einmal? Bei knappen Kapazitäten kann eine Verteilung auf 2 oder mehr Generationen Sinn machen. Warum kein Raptor Cove bei den i5 und i3? Ist doch genau das gleiche.

HOT
2023-02-21, 21:55:09
Die Sharen keine Generation, das ist schlichtweg Unsinn.
Da ist einfach nur der Wunsch der Vater des Gedanken, das ist alles. Hat sich ja eh erledigt. MTL kommt 24 und ARL 25, diese These bleibt also sowieso rein theoretisch. Intel hat jetzt Intel4/3 aufs Nötigste eingedampft und alle Produkte gestrichen, die Verzögerungen verursachen könnten. Es gibt ja Kunden, die Sierra Forest und Granite Rapids zeitig benögiten (also Ende 24/Anfang 25, spätestens Mitte 25 und die Notebooks sind Intels Consumer-Kerngeschäft.
Mal sehen, ob dann bei 20A dann alles nach Plan läuft oder ob da eh wieder alles über den Haufen geworfen wird und Intel3 dann plötzlich ein langes Leben beschert wird...

amdfanuwe
Wenn das so kommt, wäre das fast ne Analogie zu BD. Geschichte wiederholt sich nicht, aber sie reimt sich :D.

mocad_tom
2023-02-21, 22:31:15
Arrow Lake und Meteor Lake teilen sich einiges

Base-Tile und IO-Tile sind beinahe baugleich zwischen Arrow Lake und Meteor Lake.
Base-Tile wird bei Intel mit einem relativ einfachen Prozess gefertigt(14nm).

IO-Tile wird mit TSMC N6 gefertigt und wird ziemlich baugleich in Arrow Lake und Meteor Lake eingesetzt.

GPU-Die wird bei Arrow-Lake geshrinked.

latiose88
2023-02-21, 23:25:22
hm was für ein Chaos bei Intel.Wenn ich das nur alles von euch lese,dann wird mir schwindelig.

Das Erinnert mich alles an AMD damals.Globalfoundies hat auch nie wirklich was auf die Reihe bekommen gehabt.Auch immer wieder Verzögerung und neue Techniken verschoben sich immer nach hinten.Darum lagen sie auch immer weiter zurück.Wobei sie haben schon was Entwickelt gehabt,aber konnten nie wirklich die Stückzahlen her bringen,also Herstellen.Ist aber ähnlich wie bei Intel.

Wenn das so weiter geht,wird irgendwann man doch mal Intel die Fabs abstoßen und auch zu TSMC gehen.Wäre zwar schade,aber die Option bestünde ebenso.
Denn wenn ich mir das ganze so anschaue,wirkt es so als sei alles in Scherbenhaufen und man versucht noch was zu retten was geht.
Denn Intel muss ja nicht umsonst Mitarbeiter entlassen und Boni zu kürzen in der Oberen Riege.
Wenn es aber so weiter geht,wird man wohl noch mehr Streichen,einsparen und so weiter machen müssen um sich zu retten.

Nur eines werden sie gewiss nicht kürzen und das ist die Server Sparte.Die bringt halt noch am meisten Geld ein.Und wenn man zu einem richtigen low und Mittelklasse Hersteller werden will,dann muss man wohl noch weiter Gesundschrumpfen um das zu stemmen.

Wer weis vielleicht überrascht ja in Zukunft Intel noch mit einem Konsolen deal,dann können sie zumindest da auch noch Geld bekommen.Aber so schlecht sieht es ja für Intel doch noch nicht aus,um sich so weit nach unten zu begeben.

davidzo
2023-02-22, 12:38:34
Aktuell hat Intel in der Datacenter Sparte sogar weniger Marge als im Desktop. Das bedeutet ungefähr doppelt soviel Gewinn in der client group.

Und Datacenter beschäftigt dennoch die meisten fähigen Ingenieure bei Intel. Intel wird hier natürlich am Ball bleiben, vor allem weil sonst der Gesamtumsatz total einbricht. Aber im Moment gibt es wirklich nichts was den Karren aus dem Dreck ziehen kann. Glücklicherweise hat Intel noch einige Reserven, immerhin hat man zuletzt sogar rendite gezahlt um die Anleger happy zu halten.

Aber mit dem weiter sinkenden Gesamtmarkt stehen demnächst eher Cuts und Entlassungen an und keine neue Investitionen. Sparen Sparen Sparen


Ein Konsolendeal wäre großartig für Intel. Ein Deal z.B. mit Sony würde den Treiber nochmal auf ein völlig neues Level bringen. Mit der aktuellen Technologie müsste man das aber unterhalb der Selbstkosten anbieten um mit AMD zu konkurrieren. Man sieht ja wieviel Verlust die ACG group gerade macht, das würde sich damit vervielfachen.
Allerdings steht im moment auch noch kein neuer Konsolenzyklus an. Ich bin mir aber sicher dass Gelsinger seinen Hut in den Ring wirft sobald es wieder soweit ist (Dann aber frühestens mit Celestial). Das wäre ein super Deal um eine eine Grund-Auslastung in Intels Foundry Business zu bringen. Die älteren Verfahren sind bei Intel ja auch sehr Kosteneffektiv.

Complicated
2023-02-22, 12:51:59
Ich bin überzeugt, dass die nächste Konsolen-Generation an denjenigen gehen wird der erfolgreich diese HPC-Technolgien beim Packaging und Interconnect am besten in Richtung Mobile runter Skalieren kann - Vielleicht sogar die Konsole noch vor den mobilen TDP-Bereichen:
https://www.golem.de/news/amd-instinct-mi300-13-chiplets-und-146-milliarden-transistoren-fuer-2-exaflops-2301-171018.html
AMD kombiniert die CPUs mit CDNA3-Beschleuniger-Einheiten, zu denen weiter kaum technische Details bekannt sind. Dies ist ein für die HPC-Beschleuniger bisher ungewöhnlicher Ansatz, setzen diese doch meist nicht auf CPU-Kerne im gleichen Package. Mit Grace und Hopper ist Nvidia aber inzwischen bei der kombinierten CPU/GPU-Nutzung auf einem Modul angelangt, das im ersten Halbjahr 2023 bereit stehen soll. Auch Intels für 2024 geplante Falcon Shore HPC-Chips sollen solch einen kombinierten Ansatz nutzen. AMDs MI300 sollen im zweiten Halbjahr 2023 ausgeliefert werden, pünktlich zum Bau des El Capitan.

HOT
2023-02-22, 13:43:30
Die geht eh wieder an AMD, weil die CPU+GPU am sinnvollsten kombinieren können.
Der Konsolenhersteller haben a.) ein passendes Software-Ökosystem hierfür aufgebaut und b.) produziert keiner sonst die SoCs für diese Konditionen.

Complicated
2023-02-22, 14:19:52
Nun, die Einnahmen könnten weniger wichtig sein als die Packaging-Verfahren kostenmäßig zu skalieren. Da könnte vor allem Intel auf den Preis weniger Wert legen als Foundry-Owner und Neueinsteiger bei Treibern für Spiele.

HOT
2023-02-22, 15:32:19
Kann sein, wenn Intels Situation bis dahin so prekär ist, dass Intel dafür weniger Geld nimmt als AMD. Und die Leistung liefern kann natürlich.

ryan
2023-02-23, 13:17:03
Arrow Lake und Meteor Lake teilen sich einiges

Base-Tile und IO-Tile sind beinahe baugleich zwischen Arrow Lake und Meteor Lake.
Base-Tile wird bei Intel mit einem relativ einfachen Prozess gefertigt(14nm).

IO-Tile wird mit TSMC N6 gefertigt und wird ziemlich baugleich in Arrow Lake und Meteor Lake eingesetzt.

GPU-Die wird bei Arrow-Lake geshrinked.


Ja eben, MTL und ARL haben einiges gemeinsam neben dem Sockel. Sogar die iGPU bleibt gleich, beide setzen auf Xe LPG. Ein next Gen Soc kommt erst bei Lunar Lake zum Einsatz.


Gelsinger hat die TSMC 3nm Gerüchte im capital update (https://edge.media-server.com/mmc/p/pqmxigih) dementiert, Arrow Lake sei on track und es gäbe keine Änderungen und Verzögerungen bei Intels TSMC 3nm Programmen.


Intel 20A Produkte sind erst in 2025 zu erwarten, der product ramp deutet darauf hin. Außerdem redet er von 20A+18A leadership by 2025. Sollte ARL-S auf TSMC 3nm setzen, wäre 2024 allerdings nicht ausgeschlossen. Vielleicht bringen sie ein paar K Modelle mit TSMC 3nm und die non K i5/i3 füllen sie mit MTL-S+Intel 4 als budget Option für LGA 1851. Mobile dann in 2025 mit 20A.

davidzo
2023-02-23, 14:18:11
Nun, die Einnahmen könnten weniger wichtig sein als die Packaging-Verfahren kostenmäßig zu skalieren. Da könnte vor allem Intel auf den Preis weniger Wert legen als Foundry-Owner und Neueinsteiger bei Treibern für Spiele.

Ich glaube chip stacking und embedded interconnects werden wir bei Konsolen als letztes sehen. Hier ist der Kostendruck am höchsten und bisher sind APUs wie der 5700G oder ein PS3 SOC monolitisch einfach am günstigsten. Die Motivation für eine neue Technologie kann bei Konsolen nur durch Kostenvorteile entstehen. Die Stückzahlen sind über einen Konsolenlebenszyklus so hoch dass sich recycling von Masken/DIEs aus anderen Sparten nicht lohnen und nennenswertes Binning womit sich unterschiedliche SKUs zusammenstellen ließen gibt es auch nicht (der schlechteste Bin bestimmt die Gesamt SKU bei Konsolen).

Mit Infinitycache lässt sich vielleicht genug Komplexität bei der Platine einsparen das es kostentechnisch Sinn macht. Also ein schmaleres SI+mehr Cache würde ich erwarten Und da SRAM kaum skaliert kann man davon ausgehen dass die nächste Generation von Konsolenchips zumindest das SI und den Cache Auslagern.
Also wahrscheinlich ein 2-chip Modell: Compute + IO-DIE welches auch den Cache beinhaltet.
Ob man dafür ein advanced packaging braucht wird der preis bestimmen. Selbst wenn MCM 50Watt Extra Stromverbrauch bedeutet, würden Konsolen noch auf darauf setzen solange es Kostenvorteile bietet und nicht gerade erhebliche Performancenachteile mitbringt.

Intel hat massig 14nm und 22nm Kapazitäten und das sind immer noch top performende Prozesse mit exzellenten yields. Dazu besitzt man sehr viel IP die man fürs i/o DIE verwenden kann, die AMD/Sony/M$ bisher lizensieren müssen. Dazu ein Compute DIE bei TSMC und man könnte tatsächlich gut konkurrieren. PPA beim Graphics+Compute wird schlechter sein als AMD oder Nvidia, aber dafür spart man beim i/O Die Kosten ein. Den Engineering support bzw. inhouse Design für Platinen, Firmware etc. würde ich auch nicht unterschätzen. Der ist auf einem anderen Level als das was AMD bieten kann, was sicherlich für Kunden wie M$ einen Vorteil darstellt, deren kleine engineering Teams eher schlüsselfertige Designs erwarten.

Kann sein, wenn Intels Situation bis dahin so prekär ist, dass Intel dafür weniger Geld nimmt als AMD. Und die Leistung liefern kann natürlich.
Sehe ich eher umgekehrt: Wenn es Intel gut geht werden sie mitbieten, wenn es ihnen noch schlechter geht werden sie von solch riskanten Manövern eher abstand nehmen.

Weniger Geld als AMD zu nehmen heißt ja das Produkt zu subventionieren. AMD hat bereits eine fast-Null Marge und hat erheblich bessere PPA. Für Intel hieße es also Cash gegen Marktanteile. Und das wird man nur machen wenn man die Subventionen dafür mit Gewinnen in anderen Geschäftsbereichen abdecken kann. Die Accelerated Graphics Group verschlingt jetzt schon komplett den wenigen Gewinn den man im Datacenter noch macht. Gelsinger kann jetzt nicht noch ein Fass ohne Boden auf machen, bevor das Licht am Ende des Tunnels zu sehen ist. Fuck Marktanteile wenn es keine Profitabilität mehr gibt.

Aktuell liegt aber fast alles am Boden bei Intel, Datacenter+AI, network+Edge, Client. Und Mobileye und die foundry services sind einfach zu winzig um Nennenswert etwas beizutragen. Gelsinger sieht auch nicht so aus als wenn er weitere große Unternehmensteile abtrennen wollen würde. Die sind entweder zu klein oder tragen gerade gut zum Umsatz+Wachstum bei (Mobileye), die braucht er also. Alles anderen aktuellen Intel Gruppen sind technologisch tatsächlich notwendig um direkt mit nvidia und AMD zu konkurrieren. Ich rechne also eher mit weiteren Entlassungen in bereichen die für die langfristige Vision weniger wichtig sind. Also Sales, marketing, channel support und konsolidierung in den AI-Zukäufen und ggf. underperformenden engineeringbereichen.

Sicher wird die Konjunktur in 1-2 Jahren wieder anziehen und der PCmarkt sich erholen, aber wer weiß ob das noch rechtzeitig ist um sich erfolgreich um ein so großes Projekt zu bewerben? Für Nintendos Nextgen wird der Zug eh schon abgefahren sein...

HOT
2023-02-23, 14:33:28
Also bei AMD war das "Experiment" die Rettung.

davidzo
2023-02-23, 14:57:18
Das war kein Experiment bei AMD. Man hatte bereits die Cat-Cores die PPA-technisch exzellent waren und GCN war gegenüber Kepler bei der PPA sogar im Vorteil. Beides war bereits perfektes Konsolenmaterial. Fremdfertigung in einem billigen Bulk-Verfahren bei TSMC, Kosten direkt durchgereicht, damit hatte man auch kein Risiko. Also musste Lisa in diesem Fall kaum Risiken eingehen, zudem stand sie mit dem Rücken zur Wand, was hätte man sonst anderes machen können?
Darauf kann Intel nicht bauen. SOC-technisch ist man zwar konkurrenzfähig und bei der CPU PPA mit den Monts auch. Aber Arc A-Series ist bei der PPA um Faktor 2x abgehängt und die Fertigung die dafür nötig wäre ist eher teuer. Zudem fehlt das Softwareökosystem und die AXG Developer sind bereits mit den vorhandenen Projekten Hoffnungslos überlastet.

Lehdro
2023-02-23, 14:58:53
Also bei AMD war das "Experiment" die Rettung.
Die kamen aber auch von einem viel niedrigerem Niveau. Bei Intel sieht das anders aus, da würde das schon fast in der Masse untergehen.

amdfanuwe
2023-02-23, 15:43:03
(der schlechteste Bin bestimmt die Gesamt SKU bei Konsolen).
...
Also wahrscheinlich ein 2-chip Modell: Compute + IO-DIE welches auch den Cache beinhaltet.

Da könnte man mit standard Chiplets günstiger dastehen.
Der schlechteste Bin kann dann in anderen Produkten verbaut werden.

Also vielleicht ein 3-Chip Modell wie: ZEN5 X(3D) + RDNA4 +I/O.

latiose88
2023-02-23, 17:22:43
da müsste aber intel erst mal umstellen und Entwickeln kostet auch Zeit.
Also müsste Intel erst mal mit einer langen durststrecke leben und würde sich dabei noch Gesundschrumpfen um das zu schultern.Dann vielleicht ist es dann möglich bei Intel.
Aber wer weis wie lange es dauert und ob diese lange Zeit intel WIrtschaftlich durchhalten könnte.
Klar hat Intel viel mehr Geld als AMD zur Verfügung.Kann sich jedoch auch bei so großen Firmen wie Intel auch ändern.
Niemand ist vor einer Pleite geschütz.Es gab schon so große Firmen die auch mal Pleite gingen.Einmal ne massive falsche Entscheidung treffen und dann geht es dahin.

Klar kann Intel dann gegen Masnahmen wie AMD machen,nur die Aktionäre und der rest gefiele das weniger. Das ist immer also mit Risiko verbunden.Bei Intel hieße es dann,kann Intel das auch so machen wie bei AMD und wird es dann wenn es doof läuft Patentverletzungen geben.Alles fragen über Fragen.Da muss man echt gut aufpassen.

Complicated
2023-02-23, 18:42:34
Intel wird ohne Foundry Geschäft seine Fabs nicht weiter halten können. Rein wegen der Investitionskosten. Den Zugang zu GPU Entwicklung und die benötigten Treiber Tweaks gibt es ebenfalls nicht so mal schnell geschenkt. Hier könnte schon das Interesse grösser sein als noch bei der letzten Konsolen Gen. Hängt davon welche Strategie insgesamt bei Intel festgelegt wird.

davidzo
2023-02-23, 20:04:46
Da könnte man mit standard Chiplets günstiger dastehen.
Der schlechteste Bin kann dann in anderen Produkten verbaut werden.

Also vielleicht ein 3-Chip Modell wie: ZEN5 X(3D) + RDNA4 +I/O.

Wenn das tatsächlich billiger wäre hätte man es bei der PS5 und SeriesX+S schon gemacht oder spätestens beim Oberon Refresh. MCM CPUs hat AMD seit 2017 im Programm und eine Aufteilung auf compute und i/o kam schon mit Zen2 ein Jahr vor den Konsolen auf den Markt.
Nee, dass man da nicht dieselben Chiplets verwendet wie im Desktop und Server hat schon gute Gründe. Das Zen2/3 Package ist trotz der Vorteile teurer als das einer APU. Und geringfügige time to market Vorteile sowie Flexibilität zwischen Server und Desktop sind für den Konsolenmarkt keine Argumente.


Niemand ist vor einer Pleite geschütz.Es gab schon so große Firmen die auch mal Pleite gingen.Einmal ne massive falsche Entscheidung treffen und dann geht es dahin.

Lol, Intel hat letztes Jahr immer noch 2Mrd Dollar an Dividende gezahlt.
Klar, das ist weniger als die 6Mrd von früher, aber vor einer Pleite ist man noch weit entfernt.
Trotzdem wird man Leute entlassen weil man die frühere performance möglichst schnell wieder erreichen möchte. Im Zweifelsfalle eben auch ohne ein besseres Produkt. Es gibt da auch andere Stellschrauben.

Intel wird ohne Foundry Geschäft seine Fabs nicht weiter halten können. Rein wegen der Investitionskosten.
Weiß nicht, bisher hat Intel die Investitionen ganz gut gestemmt bekommen.
- 20Mrd in die Ohio Fabrik. Über die nächsten Jahre werden es rund 100Mrd in den USA.
- 36Mrd in Deutschland, 9Mrd in italien insgesamt werden es bis zu 88Milliarden unterstützt durch den European Chips Act.
- 7Mrd für eine packaging-Fabrik in Malysia, 1,5Mrd in Vietnam
Rein vom Investitionsvolumen hat Intel so langsam zu TSMC aufgeschossen. Das wird nun halt eine Weile dauern bis man die Früchte sieht.

amdfanuwe
2023-02-23, 20:17:56
Wenn das tatsächlich billiger wäre hätte man es bei der PS5 und SeriesX+S schon gemacht
Bei 3nm sieht die Kostenstruktur schon anders aus.
Letztendlich wird gemacht, was günstiger ist.

y33H@
2023-02-23, 20:39:23
Base-Tile wird bei Intel mit einem relativ einfachen Prozess gefertigt(14nm).

IO-Tile wird mit TSMC N6 gefertigt und wird ziemlich baugleich in Arrow Lake und Meteor Lake eingesetzt.

GPU-Die wird bei Arrow-Lake geshrinked.Die Infos sind nur teilweise korrekt, ich freue mich drauf wenn es zu MTL konkrete Aussagen gibt.

Complicated
2023-02-23, 22:08:02
Lol, Intel hat letztes Jahr immer noch 2Mrd Dollar an Dividende gezahlt.

Gerade sind 2/3 der Dividende gestrichen worden.
https://www.deraktionaer.de/artikel/medien-ittk-technologie/intel-kuerzt-dividende-aktie-nur-kurz-befluegelt--20326990.html


Weiß nicht, bisher hat Intel die Investitionen ganz gut gestemmt bekommen.
- 20Mrd in die Ohio Fabrik. Über die nächsten Jahre werden es rund 100Mrd in den USA.
- 36Mrd in Deutschland, 9Mrd in italien insgesamt werden es bis zu 88Milliarden unterstützt durch den European Chips Act.
- 7Mrd für eine packaging-Fabrik in Malysia, 1,5Mrd in Vietnam
Rein vom Investitionsvolumen hat Intel so langsam zu TSMC aufgeschossen. Das wird nun halt eine Weile dauern bis man die Früchte sieht.
Nachdem Intel diese Summen (teils durch Schulden) ausgeben wird und die Umsätze weiter fallen werden bei Servern, in den nächsten 2 Jahren, denkst du das sei ein Argument nach dem Motto "kann sich Intel locker leisten"?
Der Zwang zur Investition, um gegenüber TSMC aufzuholen, beschleunigt den Cashburn, solange die Foundry nicht endlich Kunden binden kann. Intel braucht wegen der Foundrys deutlich mehr Umsatz als AMD um die Fixkosten zu begleichen. TSMC hat im Gegensatz zu Intel schon die Auftragsbücher voll für diese Investitionen.

mocad_tom
2023-02-23, 23:14:57
@ y33H@

Ich bin auch gespannt, wie sich Meteor Lake in Realworld schlägt.
Ich denke es könnte ein sehr sehr effizientes Gaming-SoC werden.

Es könnte für ein zukünftiges Steam-Deck ein geniales SoC werden.

Bzw. könnte es auch in Foldable reinkommen.

Auch wenn man gerade gerne draufhaut, aber Intel repariert derzeit sehr viele Schwachpunkte.

Intel steuert kontinuierlich wichtige Projekte bei Linux bei, obwohl es so viel Kahlschlag bei den Mitarbeitern gab.

Mir macht Apple derzeit eigentlich fast mehr Sorgen (klingt komisch ist aber so). Apple hat einmal mehr bei 5G nicht geliefert, Apple hat keinen Schimmer, wie sie sich bei K.I. positionieren sollen, Apple baut eine starke Rasterizing GPU bringt aber die PS nicht auf die Straße, weil die API kompletter Müll ist und in Raytracing/K.I.-Upscaling sind sie komplett abgeschlagen.

Relic
2023-02-24, 10:19:38
Es könnte für ein zukünftiges Steam-Deck ein geniales SoC werden.



So viel Arbeit wie Valve in die AMD Linux Treiber und das Ecosystem drum herum gesteckt hat wirst du da schnell keinen anderen SoC drinne sehen.

robbitop
2023-02-24, 10:53:11
@ y33H@

Ich bin auch gespannt, wie sich Meteor Lake in Realworld schlägt.
Ich denke es könnte ein sehr sehr effizientes Gaming-SoC werden.

Es könnte für ein zukünftiges Steam-Deck ein geniales SoC werden.

Soweit ich weiß ist Meterolake IGP noch XeLP basiert. Also noch nicht mal auf ARC Level. Ja es gibt wesentlich mehr EUs für Meterolake und ja die Xe Treiber werden immer besser - aber man schafft es mit XeLP gerade so auf Vega aufzuschließen (rohleistungsnormiert). Ich würde vermuten, dass AMD noch eine längere Zeit die besseren APUs/SoCs für's mobile Gaming baut als Intel. Ggf. holt Intel dann mit der Battlemage GPU IP auf.



Mir macht Apple derzeit eigentlich fast mehr Sorgen (klingt komisch ist aber so). Apple hat einmal mehr bei 5G nicht geliefert,

Iphones haben doch seit >2 Jahren 5G. Was meinst du? Meinst du, dass sie kein eigenes Modem für 5G haben und eines lizensieren? Völlig irrelevant - Lizenzgebühren sind Pennies. Man muss nicht alles selbst machen.


Apple hat keinen Schimmer, wie sie sich bei K.I. positionieren sollen
Was genau bei K.I. meinst du? Da gibt es ja eine extrem große Bandbreite an Anwendungen. Welche Anwendung meinst du im Speziellen, die Apple extrem abgeht im Moment? ChatGPT? Muss man nicht selbst machen. Kann man bei OpenAI sicherlich lizensieren oder den Bing nutzen. Außerdem ging es doch praktisch gerade erst los damit. Apple war schon ganz oft nicht der erste. Der erste zu sein bringt erstmal wenig.


, Apple baut eine starke Rasterizing GPU bringt aber die PS nicht auf die Straße, weil die API kompletter Müll ist und in Raytracing/K.I.-Upscaling sind sie komplett abgeschlagen.
Gibt es Belege und konkrete Argumente, dass die API (VulkanMetal) "totaler Müll" ist? Soweit ich das von Entwicklern gehört habe ist das eine sehr moderne, gut durchdachte API. Und ob es Auslastungsprobleme durch die API gibt, ist meines Erachtens nach nirgends belegt.
Dass Spiele auf Macs bescheiden laufen hat eine Vielzahl von Gründen.
1.) Apple optimiert seine Treiber nicht auf Spiele
2.) Studios widmen Macs so gut wie keine Priorität
3.) OS und API sind proprietär - wenig potenzielle und Kunden und viel Aufwand zum Portieren und Optimieren macht halt für die Studios kaum Sinn

Und es ist Apple auch egal, weil deren Clientel praktisch keine Gamer sind. Deren Clientel interessiert kein Raytracing, KI Upsampling und fps in Spielen. Da muss Photoshop und Adobe Premiere und Aftereffects tip topp laufen. So energieeffizient wie möglich.

Das war bei Apple auch noch nie so, dass sie Interesse an Gamern hatten. Da braucht man sich keine Sorgen zu machen. Sie wollen Hardware zu besonders hohen Margen verkaufen als einfach nur Umsatz zu machen. Also sucht man sich seine Zielgruppe aus und verkauft Hardware zu Apothekerpreisen. Funktioniert.

Ich kann den Gedankengang aber nachvollziehen, weil man sich Apple SoCs als (portable) Gamer anschaut und sich ausmalt was mit denen pro Watt möglich wäre, wenn es darauf optimiert wäre. Ein Steamdeck mit M2, für Spiele Optimierte Treiber (und Vulkan Support) würde leistungsnormiert entweder eine viel höhere Akkulaufzeit haben oder energienormiert von der Performance her alle 15W SoCs von AMD und Intel auffressen.

mocad_tom
2023-02-24, 10:55:37
@ Relic

Was ist, wenn AMD nun Ressourcen so allokiert, wo es am meisten Marge zu holen gibt (machen sie ja aktuell eh schon so).

Sony bittet um ein Angebot für ein SoC für die nächste Konsole
Microsoft bittet um ein Angebot für ein SoC für die nächste Konsole
Steam möchte die nächste Konsole frisch aufsetzen
Nintendo .....

TSMC zwackt sich vom SoC-Preis immer seinen Anteil weg.
TSMC ist verwöhnt, weil Apple sich hohe Fab-Preise leisten kann.

Ich lege mich mal fest - in der nächsten Konsolengeneration wird Samsung und/oder Intel bei mindestens einer Konsole drinstecken.

mocad_tom
2023-02-24, 11:33:41
@ Robbitop

Meteor Lake GPU:
Die GPU von Meteor Lake ist eine ARC, die zwar DP4a ausführen kann, aber nicht XMX ausführen kann. Sie hebt sich von XeLP ab.
Im IO-Tile werden die Elemente für die Grafikanschlüsse stecken und die Video-Compression und Decompression.
Die NPU (Neural Processing Unit) wird im IO-Tile sitzen.
Meteor Lake GPU-Tile wird bei Rasterizing okay sein, aber bei Raytracing einiges weggespart.

5G-Modem:
Ja das Iphone hat ein 5G-Modem von Qualcomm drin.
Hat aber die Modem-Sparte von Intel abgekauft und wollte ein eigenes Modem ins Iphone reinzimmern. Sie verschenken ja aktuell auch Bauraum im Inneren, weil sie nicht Modem + SoC auf einem Paket haben.


"Und es ist Apple auch egal, weil deren Clientel praktisch keine Gamer sind."
Richtig, sind nämlich Zahnärzte, die ihre MacBooks zum Couch-Surfen hernehmen.
Mit Intel ARC haben wir gesehen, das die Hälfte der Arbeit im Treiber steckt. Und Apple schraubt lieber an ihrer Kamera rum.
Apple will Suchmaschinen durch ihr eigenes KnowHow ersetzen - den Werbemarkt unter ihre eigenen Fittiche bringen - das wird lustig, wenn man einen Walled-Garden komplett von einer Entwicklung wegkappt und die schauen dann neidisch zum Nachbarn rüber.
Apple bewegt sich gerade in der Phase "Ideenlose Verwaltung des eigenen Erfolgs und Ausruhen auf den Lorbeeren". Seit sie den M1-Prozessor rausgebracht haben - was haben sie seitdem genau gemacht, ausser Funktionseinheiten verdoppelt?

Microsoft hat jetzt das Benutzen von Win11 auf M1/M2 gestattet - dann wurde gefragt nach Nested Virtualization - dann wurde von ganz oben schon bescheinigt, dass das nicht geht.
Nur mal als Richtschnur - Nested Virtualization geht mit x86 seit 2016.

Complicated
2023-02-24, 12:08:50
Iphones haben doch seit >2 Jahren 5G. Was meinst du? Meinst du, dass sie kein eigenes Modem für 5G haben und eines lizensieren? Völlig irrelevant - Lizenzgebühren sind Pennies. Man muss nicht alles selbst machen.
Hängt von der Sichtweise ab - für Qualcomm ist es sicher weniger Irrelevant als Apple ;)

https://www.heise.de/newsticker/meldung/Apple-und-Qualcomm-einigen-sich-im-Patentstreit-4401216.html

Apple warf Qualcomm vor, zu hohe Lizenzgebühren für seine Patente zu verlangen und unfairen Wettbewerb zu betreiben. Qualcomm konterte mit dem Vorwurf, in Apple-Geräten werde von dem Konzern erfundene Technik ohne Patentlizenz genutzt.
Der Streit war eine spürbare Belastung für Qualcomm. Die Auftragsfertiger von Apple hatten bereits 2017 ihre Zahlungen an den Chipkonzern eingestellt. Qualcomm bezifferte die dadurch entgangenen Einnahmen samt Zinsen auf sieben Milliarden US-Dollar. Die Anleger waren entsprechend erleichtert: Die Qualcomm-Aktie sprang nach Bekanntgabe der Einigung um gut 23 Prozent hoch. Der Apple-Kurs blieb praktisch unverändert.

robbitop
2023-02-24, 16:06:18
@ Robbitop

Meteor Lake GPU:
Die GPU von Meteor Lake ist eine ARC, die zwar DP4a ausführen kann, aber nicht XMX ausführen kann. Sie hebt sich von XeLP ab.
XeLP kann doch dp4a ausführen. Aber immerhin RT nagut. Rohleistungsnormiert ist ARC aber selbst in den best cases nicht besonders toll. In den best cases haben die Treiber kaum noch was gebracht, weil die Flaschenhälse wie Drawcalls etc dort nicht vorhanden sind. Dort ist dann in der uArch einfach nicht mehr drin. Wenn sie eines Tages rohleistungsnormiert mithalten können, kann man nochmal drüber sprechen. Hoffentlich bald (Battlemage). Der GPU Markt kann es wahrlich gebrauchen.



5G-Modem:
Ja das Iphone hat ein 5G-Modem von Qualcomm drin.
Hat aber die Modem-Sparte von Intel abgekauft und wollte ein eigenes Modem ins Iphone reinzimmern. Sie verschenken ja aktuell auch Bauraum im Inneren, weil sie nicht Modem + SoC auf einem Paket haben.
Das ist in der Tat ein Nachteil. Aber wie groß der Nachteil für das Produkt ist, ist fraglich. Bis dato spielt man ja trotz dessen ganz vorn mit was Akkulaufzeit und Performance angeht. Viel unschöner finde ich, dass die Sprünge in deren CPU Cores seit A14 relativ klein geworden.


"Und es ist Apple auch egal, weil deren Clientel praktisch keine Gamer sind."
Richtig, sind nämlich Zahnärzte, die ihre MacBooks zum Couch-Surfen hernehmen.
Content Creators, wohlhabende Leute, Hipster, Leute die einfach damit arbeiten und Akkulaufzeit mögen. Aber halt keine Gamer. War noch nie so - scheint irrelevant zu sein. Apple verdient sich im mobile Gaming über die Appstores dumm und dämlich (mehr Gewinn als die meisten Studios). Mal schauen wie lange noch wenn sie fremde Appstores oder sideloading zulassen müssen.


Mit Intel ARC haben wir gesehen, das die Hälfte der Arbeit im Treiber steckt. Und Apple schraubt lieber an ihrer Kamera rum.
Verstehe nicht was das eine mit dem anderen zu tun haben soll. Das Clientel von Apple scheint Gaming nicht wichtig zu sein. Alles andere macht die GPU wenn man sich die Reviews anschaut ziemlich gut.


Apple will Suchmaschinen durch ihr eigenes KnowHow ersetzen - den Werbemarkt unter ihre eigenen Fittiche bringen - das wird lustig, wenn man einen Walled-Garden komplett von einer Entwicklung wegkappt und die schauen dann neidisch zum Nachbarn rüber.
Ist das so? Gab gerade wieder einen Deal mit Google für Beteiligung an Werbeeinnahmen um Google als Defaultsuchmaschine laufen zu lassen.
Ich habe nirgends Anzeichen gesehen, dass Apple selbst Suchmaschinen bauen will. Ist nicht deren Kernmarkt bis dato. Die verkaufen ihre Hardware und verdienen noch zusätzlich am Content aus den Stores. Mit der HW kann man natürlich jede beliebige Suchmaschine und KI GPT Suchmaschine benutzen. Warum soll man da in Konkurrenz treten. Apple kann sich da wie ein Fähnchen im Wind bewegen und die Suchmaschine als default einstellen, die am meisten bringt. Wenn es zukünftig BING mit ChatGPT ist, dann machen sie einen entsprechenden Deal und bekommen automatisch einen cut. Wozu sowas selbst bauen? Ist nicht deren Kerngeschäft. Sie sind eigentlich nicht primär ein Softwareunternehmen. Das ist bei MS was anderes IMO (weil die ja primär ein SW Unternehmen sind).


Apple bewegt sich gerade in der Phase "Ideenlose Verwaltung des eigenen Erfolgs und Ausruhen auf den Lorbeeren". Seit sie den M1-Prozessor rausgebracht haben - was haben sie seitdem genau gemacht, ausser Funktionseinheiten verdoppelt?
Was haben sie überhaupt gemacht seit dem Release des iPads (das war vor 13 Jahren!)? Das war das letzte Mal als sie etwas wirklich Neues gemacht haben. Ihre Hardware iterativ einfach immer besser gemacht. Die Airpods/Airpod pros wären ggf. noch zu nennen. Trotzdem war dieser Ansatz kommerziell sehr erfolgreich.


Microsoft hat jetzt das Benutzen von Win11 auf M1/M2 gestattet - dann wurde gefragt nach Nested Virtualization - dann wurde von ganz oben schon bescheinigt, dass das nicht geht.
Nur mal als Richtschnur - Nested Virtualization geht mit x86 seit 2016.
Was für wie viel Prozent des Clientels relevant ist? Grundsätzlich läuft Windows mittels Parallels relativ gut. Wie Prozent deren Clientels brauchen jetzt noch Nested Virtualization on top? Wahrscheinlich für Apple irrelevant.

HOT
2023-02-27, 08:42:26
https://www.techpowerup.com/305238/intel-to-go-ahead-with-meteor-lake-6p-16e-processor-on-the-desktop-platform

Und Rolle rückwärts, MTL-S 6+16 erscheint doch, aber eben später.
Hätte mich auch gewundert, Die Lücke zwischen den Produkten wäre doch sehr groß geworden.

23 RPL Refresh
24 MTL-S
25 ARL-S

ryan
2023-02-27, 12:29:37
Vielleicht solltest du nicht alles als gesicherten Fakt hinstellen, das betrifft auch deine eigenen Spekulationen. Es wäre gut möglich, dass gewisse Leute auf alte Informationen zurückgreifen. Roadmaps mit 6+16 MTL-S gab es es definitiv. Wenn das jetzt erst in den letzten Wochen gecancelt wurde, kann es leicht zu Überschneidungen beim Informationsstand kommen.

HOT
2023-02-27, 13:56:04
Wars ja vorher auch nicht, und deine absurde Theorie, dass ARL-S MTL-S ersetzt, ist ja auch kein Fakt. Also jeder weiss doch, dass das kein Fakt ist in diesem Thread. Das ist halt der jetzige Erkenntnisstand, das kann sich schnell wieder ändern.

mocad_tom
2023-02-27, 15:51:26
"officially powered on" im April 2022

https://twitter.com/MJHolthaus/status/1520058744008183808

"Michelle Johnston Holthaus is executive vice president and general manager of the Client Computing Group at Intel Corporation."

Ich glaube es wäre auch strafbar für Michelle Holthaus hier Flaschinformationen über Twitter weiterzugeben.

Bei Alder Lake und Raptor Lake war zwischen power on und Marktstart 18 Monate.

Intel 4 ist neu - aber andere Produkte sind da auch schon auf dem Band (ein Risc-V von SiFive und Loihi 2).

Ich rechne mit Meteor Lake für Laptops im Oktober.

reaperrr
2023-02-27, 16:25:36
Ich lege mich mal fest - in der nächsten Konsolengeneration wird Samsung und/oder Intel bei mindestens einer Konsole drinstecken.
Bei Sony und MS definitiv nicht.

Intel hat nichts, was AMD nicht auch hat, nur das AMD's Zeug (sowohl CPUs als auch GPUs) technologisch besser ist, wenn man Perf/mm² und Perf/W berücksichtigt. Bei Intel kannst du dir nichtmal sicher sein, dass sie in absehbarer Zeit überhaupt in der Lage wären, was wesentlich schnelleres als die PS5/XSX-SoCs zu bauen (hinsichtlich GPU), weil deren GPU-IP noch immer Jahre hinter AMD hinterherhängt, wenn es um Perf/W und Perf/mm² geht.

Samsung wäre nicht mehr x86, was die Backwards-Compatibility zu Games der aktuellen Gen kaputtmachen würde.
Außerdem müsste Samsung erstmal was entwickeln, das auch gut genug nach oben hin skaliert, um es mit AMD-Hardware aufnehmen zu können. Wird schwer.

Und Apple und NV können kein x86 anbieten und würden wahrscheinlich auch mehr Geld als AMD haben wollen.

Ich gehe fest davon aus, dass beide bei AMD bleiben.

Lehdro
2023-02-27, 16:32:39
Außerdem müsste Samsung erstmal was entwickeln, das auch gut genug nach oben hin skaliert, um es mit AMD-Hardware aufnehmen zu können. Wird schwer.
Nur mal so: Samsung ist mit AMD eine Partnerschaft eingegangen zwecks der GPU IP. (https://www.computerbase.de/2022-08/samsung-exynos-kooperation-mit-amd-fuer-eigene-gpus-wird-fortgesetzt/) Das wäre also maximal eine AMD GPU mit ARM Cores...

robbitop
2023-02-27, 17:16:56
Samsungs eigene CPU IP war so furchtbar, dass man nach 3 Iterationen den Saft abgedreht hat weil sie nichtmal den Standardkram von ARM geschlagen haben (im Gegenteil sie haben verloren). GPU IP haben sie angeblich auch mal probiert und wurde gescrapt. Wenn man IP von AMD lizensiert sehe ich nicht warum man nicht auch weiterhin bei AMD bleiben sollte.

IMO wird PS6/Xbox5 weiterhin AMD bleiben und Switch 2 Nvidia. Samsung und Intel kommen da jeweils IMO nicht ran.

Complicated
2023-02-27, 17:34:27
AMDs Custom-IP ist ja schon letzte Generation mit einem Baukasten angetreten, bei dem die beiden Konsolen-Hersteller sich auch in der Auswahl Komponenten im Details unterschiedenen haben. Der Baukasten ist nun größer geworden, so dass hier durchaus 2 verschiedene SoCs für die Konsolen von AMD geliefert werden können. Die X3D-Cache-Option könnten hier zu interessanten und unterschiedlichen Design-Entscheidungen führen.

HOT
2023-02-27, 17:40:27
Seh ich auch so. AMD bietet einfach das flexibelste Featureset. Und wenn man sich anschaut, wie effizient diese Konsolen sind, vor allem die PS5 mit der extrem guten SSD-Anbindung und deren Folgen für die Grafik, ist auch flexibles Querdenken möglich mit AMDs Semi-Custom-Abteilung. Ich sehe nicht, wie Intel ohne Erfahrung da ran kommen sollte. AMD hat den Vorteil der Software, ein verdammt gutes Semi-Custom-Team und kann flexibel auf die Kunden reagieren. Das ist schwer zu schlagen.

y33H@
2023-02-28, 16:58:20
23 RPL-R
24 MTL-S
25 ARL-SWäre auch viel zu einfach wenn all diese "Leaker" schlicht Zugriff auf die eigentlichen Roadmaps hätten :freak:

Edgecrusher86
2023-03-17, 14:21:04
Intel-Desktop-CPU: Arrow Lake-S erscheint mit Intel-800-Chipsatz in 2024 (https://www.computerbase.de/2023-03/intel-desktop-cpu-arrow-lake-s-erscheint-mit-intel-800-chipsatz-in-2024/)

Eventuell fährt man auch ähnlich wie aktuell - sprich ein Aufteilen innerhalb einer Generation.
Arrow Lake kommt als i5-14600K-14900KS und alles unterhalb des schnellsten i5 wird MTL-S.

Leonidas
2023-03-18, 08:19:42
Das Original bei Benchlife ist weitaus konfuser geschrieben:
https://benchlife.info/intel-arrow-lake-s-might-replace-meteor-lake-s-with-lga-1851-in-2024-h1/

Danach soll ARL anstelle MTL in Q2/24 erscheinen. Unglaubwürdig. "An Stelle" ja, aber nicht zu einem für ARL früheren Termin.

HOT
2023-03-18, 08:28:17
Genau. Launch wird einfach im 1h 25 bleiben, nur gibt's halt vorher nur RPL Refresh das wars. Vorziehen wird schlichtweg nicht gehen. Aber schön, dass man bei ARL wenigstens nicht mit weiteren Verzögerungen rechnet.

robbitop
2023-03-18, 09:27:38
So wie du ADL für H1 2022 vorhergesagt hast (iirc hast du ihn sogar im Frühling bis Sommer verortet) obwohl Intel klar H2 2021 gesagt hat und er dann auch 2021 gelauncht wurde? ;)

HOT
2023-03-18, 09:56:26
So wie du ADL für H1 2022 vorhergesagt hast (iirc hast du ihn sogar im Frühling bis Sommer verortet) obwohl Intel klar H2 2021 gesagt hat und er dann auch 2021 gelauncht wurde? ;)
Nein, so wie es zur Intel Roadmap passt.
Außerdem ist er erst da gelauncht ;). Vorher gab es wieder mal nur die k-Varianten und das ist geringen Mengen, wie schon beim 9900k beispielsweise. Vielleicht gibts ja Ende 24 wieder einen Paperlaunch, aber ich glaube nicht. Wenn wir Glück haben, beginnt die Massenproduktion noch in 24. Wenn alles glatt läuft, ist das das Zeitfenster mMn. MLID geht übrigens nach wie vor von N3 aus für Desktop-ARL, nicht 20A. Ist nur bemerkenswert, weil der den komplett-Cancel von MTL-S ja schon länger vorhersagt. Wenn das stimmt gehe ich bei H2 24 mit.

Also wenn das so stimmen sollte wäre das folgendes Bild:
Q3 23 -> RPL-R mit deutlich mehr Takt (angeblich ist durch Aktivierung von DLVR präziseres Steuern möglich und dadurch deutlich höhere Durchschnitts-Takte)
Q1 24 -> MTL-U (vielleicht Q4 23 Paperlaunch)
H2 24 -> ARL-S in N3
(H1 25 -> ARL-U/H (20A))
25 -> LNL-U (18A)

Nur zur Erlärung: Es sieht derzeit so aus, als würde Intel die Fertigung wirklich recht gut hinbekommen. I3/4 ist soweit im Plan (Anfang oder Mitte 23 waren nie realistisch) und wird auch produziert werden, wie es derzeit aussieht. Auch 18A scheint sehr gut im Plan zu liegen und Produkte noch in 25 hervorzubringen (LNL wie es aussieht), wie es sogar der ursprüngliche Plan seitens Intel war. Der war ja Intel4 in 23, Intel 3 und 20A in 24 und 18A in 25.
Intel hatte ein gewaltiges Problem mit der Masse an Produkten, die für diese ganzen Prozesse geplant waren. Daher ist es sehr wahrscheinlich, dass man sich auf die wichtigsten Projekte konzentriert hat und alles andere gnadenlos gecancelt hat. Man braucht MTL für Mobile? Dann wird der fertiggestellt (U/H). Für Desktop reicht RPL-R mit DLVR aus? Dann cancel alles weitere bei MTL. ARL braucht man für Desktop? Dann cancel alles weitere aus der Generation und versuch High-End-Desktop einfach mit LNL zu erschlagen, der Rest reicht dann ein MTL-Refresh (reine Speku). Damit hätte man den Designteams massiv Luft verschafft und hätte trotzdem mittelfristig ein gutes Lineup, als wenn man 3 komplette Generationen erzeugt hätte. Der Vorteil wäre eben überschaubar und die Kosten massiv höher und die Teams unter ständig hohem Druck. Als Nachfolgegeneration für ARL ist dann ja wieder Panther Lake geeignet, der zusammen mit LNL dann das komplette Mobile-Segment abdecken kann. Das Problem sind ja nicht nur die Designs, sondern auch die Rüstkosten für die Fabs. Wenn man Intel7 verlängern kann und Intel3/4 langsamer ausbaut, spart das einfach irre viel Geld. Wenn man 20A einfach komplett links liegen lässt und stattdessen gleich auf 18A wechseln kann, spart man sich viel Geld und obendrein auch noch Probleme.

ryan
2023-03-18, 17:00:35
Das Original bei Benchlife ist weitaus konfuser geschrieben:
https://benchlife.info/intel-arrow-lake-s-might-replace-meteor-lake-s-with-lga-1851-in-2024-h1/

Danach soll ARL anstelle MTL in Q2/24 erscheinen. Unglaubwürdig. "An Stelle" ja, aber nicht zu einem für ARL früheren Termin.


Die würfeln einiges durcheinander. Es kann aber gut sein (und das ist eigentlich wahrscheinlich), dass Intel ARL-S und MTL-S zusammen in den Markt bringt. Selbst wenn dann MTL-S später kommt als es möglich wäre. Intel müsste ansonsten eine komplett neue Plattform mitsamt Sockel und Chipsatz mit einer CPU kombinieren, die die alten Raptor Lake i7 CPUs nicht ansatzweise Paroli bieten kann. 6+8 gegen 8+16 geht nicht gut aus. Neuer Sockel und Chipsätze wird ja meist teurer, ohne CPU Speerspitze wäre das ein Desaster für den Verkauf.

Außerdem brauchen sie dann nicht so viel 3nm Kapazitäten von TSMC, weil bis zum i5 hoch MTL-S die Lücke schließt. Es könnte ein lineup bilden innerhalb einer Serie ja, MTL und ARL werden dennoch immer getrennt sein, weil unterschiedliche CPU Architektur.

HOT
2023-03-20, 18:07:47
ARL kommt übrigens nicht in 20A. Es gab bisher noch kein Tape Out in 20A außer einigen Testchips, Intel selbst hat nach dem UDN-Artikel, der den Intel-China-CEO übersetzte, dass Intel irgnedwelche Produkte vorziehen würde, selbst bestätigt. Die haben selbst falsch übersetzt, so kam das zustande. Das schließt das Zeitfenster für 20A auf ARL ziemlich, Steve von GN sagt sogar, dass Intel jetzt erst anfängt die Produkte für 20A und 18A konkret zu definieren, das passt nicht zur ARL. Wenn es derzeit nicht mal Prototyp-A0-Silizium für ARL gäbe, dann schiede 2024 als Launch ja definitiv aus (und das wäre dann weit in 25). Das ist aber nicht der Fall, da ARL schon Ende 2022 sein Tape Out hinter sich gebracht haben soll (gerüchtehalber natürlich) und in 2024 erscheinen soll. Gamers Nexus machte auf die Korrektor des UDN Originalartikels aufmerksam.

https://youtu.be/HG9PQ6YzNB8?t=1212

Ich würde mittlerweile tatsächlich davon ausgehen, dass ARL tatsächlich N3 ist und in H2 2024 erscheint, und zwar genauso wie ryans Theorie war (die ich stets bekämpft hatte :D), als reine Destkop-CPU. Die ursprünglichen Pläne waren aber offensichtlich andere, nämlich ARL in 20A und als komplettes Lineup, das hat sich aber offensichtlich erledigt (muss schon seit Ende 21 spätestens so sein). MTL und ARL sind jetzt ziemlich sicher eine (die 14.) Generation, MTL für Mobile (CES24 mMn) in I4 und ARL für Desktop (ab Q3 24) in N3.
Für LNL und PTL würde ich sagen, dass beide Chips in 20A kommen werden in 2025, die Tape Outs hierzu werden dann noch in 23 erfolgen.

Die würfeln einiges durcheinander. Es kann aber gut sein (und das ist eigentlich wahrscheinlich), dass Intel ARL-S und MTL-S zusammen in den Markt bringt. Selbst wenn dann MTL-S später kommt als es möglich wäre. Intel müsste ansonsten eine komplett neue Plattform mitsamt Sockel und Chipsatz mit einer CPU kombinieren, die die alten Raptor Lake i7 CPUs nicht ansatzweise Paroli bieten kann. 6+8 gegen 8+16 geht nicht gut aus. Neuer Sockel und Chipsätze wird ja meist teurer, ohne CPU Speerspitze wäre das ein Desaster für den Verkauf.

Außerdem brauchen sie dann nicht so viel 3nm Kapazitäten von TSMC, weil bis zum i5 hoch MTL-S die Lücke schließt. Es könnte ein lineup bilden innerhalb einer Serie ja, MTL und ARL werden dennoch immer getrennt sein, weil unterschiedliche CPU Architektur.

Also ich würd nicht mehr davon ausgehen, dass es einen MTL-S über 6+8 geben wird. Das wird alles von ARL erschlagen werden. Vermutlich war das erst der Plan, nur High-End in N3 zu produzieren, mit Freigabe massiver Kapazitäten in N3 durch die TSMC-Kunden wird Intel die Pläne aber einfach geändert haben, um einfach mehr ARL abzunehmen, dafür aber in MTL 6+16 keinerlei Arbeit mehr reinzustecken und die Manpower für andere Produkte freizumachen. Konkreter würde ich alles ab 14600(k) alles als ARL sehen, so wie jetzt (und auch die High-End-Sachen im Mobile). Aufgrund der zeitlichen Nähe würde ich auch keine großen IPC-Sprünge zwischen den beiden Produkten erwarten; mMn ist Redwood Cove wie Golden Cove zu sehen (der dann auch in abgewandelter Form bei Granite Rapids verbaut wird bei gleichem Prozess (hier Intel3)) und Lion Cove ähnlich wie Raptor Cove, nur dass es sicher hier eher um eine Art für N3 entwickelten, verbesserten Radwood Cove handelt.

Also:
ADL(GoldenCove) + RPL(RaptorCove) beide Intel7 = 13k Lineup in 2022/23
MTL(RedwoodCove) + ARL(LionCove) Intel4 und N3 = 14k Lineup in 2024
LNL(PantherCove)+PantherLake(auch PantherCove) beide 20A = 15k Lineup in 2025
(Nova Lake(CougarCove) in 18A in 2026/27)

So langsam nimmt das Ganze Form an.

ryan
2023-03-20, 18:51:52
ARL-P wird in 20A erwartet, allerdings ist frühestens zur CES 2025 damit zu rechnen. Was sollte sonst mit 20A kommen?

Es gibt Indizien, wonach Lunar Lake sogar vor ARL-P kommen könnte in TSMC 3nm. Lunar Lake hat den tape out schon hinter sich. Zeitlich passt das mit 18A nicht überein. Weil ansonsten wäre man schnell bei H2 2025 - H1 2026. Bei Intel 4 und Meteor Lake liegt ja auch gut 1 Jahr zwischen manufacturing ready von Intel 4 und Produktlaunch. Beim letzten Intel Ausblick (https://videocardz.com/newz/intel-reiterates-its-plans-to-launch-meteor-lake-in-second-half-of-2023-lunar-lake-next-year) für Notebook Chips wird Meteor Lake für H2 2023 und Lunar Lake für 2024 angegeben. Arrow Lake wird nicht erwähnt, ergo erst 2025. Lunar Lake 2024 in 18A passt also nicht zusammen. Selbst 20A würde kaum passen.

HOT
2023-03-20, 18:55:07
Also ich würd für LNL und Panther Lake beide Panther Cove sehen, beide in 20A. Wahrscheinlich ist Panther Cove auch die erste Auskopplung der Royal Core Architektur.
LNL hat mMn deswegen einen anderen Codenamen, weil das ein anderes Produkt ist, nämlich ein gestacktes, während mMn Panther Lake eher monolithisch oder 2,5D-Stacking wie MTL daher kommt. Ich denke, man wird hier einfach neu denken müssen.

ARL-P ist mMn, wie MLID schon bemerkte, gestrichen. Und noch was: Man bringt nicht nur eine Mini-Generation in einem eigenen Prozess, das ergibt keinen Sinn.

ryan
2023-03-20, 19:05:49
Glaube ich nicht, das wäre zu früh. Intel released nicht zeitgleich 2 verschieden neue Cove und bringt den neueren Cove sogar früher für Notebooks, macht kein Sinn.

Laut Exist50 Lion Cove. Steht übrigens auch so im alten reddit Leak (https://wccftech.com/intel-next-gen-arrow-lake-lunar-lake-nova-lake-cpus-rumored-to-succeed-meteor-lake/). Alles andere wäre unrealistisch für 2024. Neu ist der Soc und die GPU.

Arrow Lake (Lion Cove / Skymont)
Lunar Lake (Lion Cove / Skymont)

Eine Streichung von ARL-P macht kein Sinn. Lunar Lake ist nur für low oder ultra low power mit beschränkter Kernzahl. Intel braucht also schon noch was größeres als Nachfolger von Meteor Lake/Raptor Lake im Jahr 2025. Außerdem muss irgendwas mit 20A kommen.

ryan
2023-04-12, 12:43:36
A new Intel graphics kernel driver patch posted by Intel on Tuesday confirm that upcoming Meteor Lake processors will feature an ADM/L4 cache.
https://www.phoronix.com/news/Linux-Patch-Intel-MTL-L4-Cache


Meteor Lake womöglich mit einem L4 Cache. Kann aber sein, dass das auf mobile Meteor Lake abzielt wegen der größeren GPU. War ja beim edram damals auch nicht anders.

Auf Compubench gibt es übrigens Meteor Lake iGPU Einträge mit 128EUs getaktet von 1700 bis 2100 Mhz.

HOT
2023-04-12, 14:27:44
Offenbar verspricht man sich im Mobilbereich eine gute Powerbilanz durch den L4$, ist ne gute Idee aus meiner Sicht.

Edit: Leider scheint der Cache rein IGP-Exklusiv zu sein, also nix für die CPU. Schade.
https://www.computerbase.de/2023-04/intel-meteor-lake-grafikeinheit-bekommt-l4-cache-cpu-teil-exklusiv-den-l3/

ryan
2023-04-13, 00:23:42
Edit: Leider scheint der Cache rein IGP-Exklusiv zu sein, also nix für die CPU. Schade.
https://www.computerbase.de/2023-04/intel-meteor-lake-grafikeinheit-bekommt-l4-cache-cpu-teil-exklusiv-den-l3/



Worauf bezieht sich das? Kann ich jetzt nicht rauslesen. Die iGPU kann nicht mehr auf den LLC/L3 zugreifen, auf den L4 schon. Es steht jetzt aber nicht, dass die CPU nicht auch auf den L4 zugreifen könnte. Computerbase spekuliert nur.

bbott
2023-04-13, 09:51:54
Offenbar verspricht man sich im Mobilbereich eine gute Powerbilanz durch den L4$, ist ne gute Idee aus meiner Sicht.

Edit: Leider scheint der Cache rein IGP-Exklusiv zu sein, also nix für die CPU. Schade.
https://www.computerbase.de/2023-04/intel-meteor-lake-grafikeinheit-bekommt-l4-cache-cpu-teil-exklusiv-den-l3/
Habe gerade einen Artikel gelesen der genau das Gegenteil besagt:
https://www.pcgameshardware.de/CPU-CPU-154106/News/Reaktion-auf-AMDs-V-NAND-Meteor-Lake-soll-L4-Cache-bekommen-1417392/

ryan
2023-04-13, 12:57:49
Habe gerade einen Artikel gelesen der genau das Gegenteil besagt:
https://www.pcgameshardware.de/CPU-CPU-154106/News/Reaktion-auf-AMDs-V-NAND-Meteor-Lake-soll-L4-Cache-bekommen-1417392/


PCGH geht davon aus, dass mit LLC der L4 gemeint ist. Bislang ist LLC gleich L3. Der Intel Patch trennt LLC und L4. Beim L4 schreiben sie noch dazu ADM und "with the addition of support for ADM/L4 cache".....L4 kommt zum LLC obendrauf.

Also ich denke es ist recht klar, dass LLC/L3 sowie ADM/L4 gemeint ist und daher PCGH daneben liegt mit dem hier:



Das wird nun so gedeutet, dass Intel einen Level-4-Cache ab Meteor Lake zurückbringt, der exklusiv von den CPU-Kernen belegt werden kann.


Es wäre höchstens ein GPU exklusiv möglich. Der Intel Patch sagt aber nicht aus, dass der L4 exklusiv für die iGPU wäre, wie von Computerbase spekuliert.

basix
2023-04-13, 16:52:46
EMIB ist eine passive Brücke, welche passive Interposer ersetzen kann. Foveros dient dazu, zwei aktive Dies via 2.5D Stacking zu verbinden. Da das Base Die bei Meteor Lake hat garantiert Cache integriert, da gibt es mMn keine Zweifel.