Archiv verlassen und diese Seite im Standarddesign anzeigen : Chipfertigung: 10 FF, EUV, 7 nm, 5 nm, 3 nm, GAF, ...
Seiten :
1
2
3
4
5
6
7
8
9
10
11
12
[
13]
14
15
16
17
stinki
2022-07-01, 09:01:27
Gibt es eigentlich schon einen Größenvergleich vom Snapdragon 8 in 4LPE mit dem 8+ von TSMC in N4?
Qualcomm zeigt auf den Folien ja up to 30% improved Power Efficiency und 10% Performance Boost, was in total dann 15% Power Savings bringen soll.
Platos
2022-07-01, 11:18:07
Bezüglich grössenvergleich keine Ahnung: Bezüglich Stromverbauch kann man auch nur in die Praxis schauen und da ist natürlich alles sehr inkonsistenz, da mobile Geräte. Aber Notebookchcheck liefert mittlerweile recht gute Messungen bezüglich Stromverbrauch. Einfach mal den SoC Namen plus Noteboockcheck googlen und dann auf der Seite etwas runter scrollen.
Ich weiss, das hängt stark von der Taktung ab (und somit vom Smartphonemodell), aber schlussendlich kaufen wir ja die und nicht die Fertigung. Und wenn ich mir da so die Tests anschaue, siehts jetzt nicht gerade so berauschen daus, im Vergleich zum Vorgänger.
Edit: Aber SD 888+ ist ja auch 5nm und nicht 4nm wie der 8 Gen1. Also seine Frage würde mich auch interessieren.
Wir hatten vor einiger Zeit hier im Thread über die Zukunft von EUV spekuliert, insbesondere Wellenlängen < 13.5nm und/oder NA > 0.55
Der in Leos News vom 25./26. Juni 2022 verlinkte SemiWiki Artikel meint dazu folgendes (vorletzter Absatz):
0.7 NA
In a recent article Tom Dillinger discussed an interview with Mark Phillips of Intel and Mark mentioned 0.7 NA as a successor to 0.55 NA. I was surprised by this, I thought ASML had ruled out developing anything after 0.55 NA due to the high investments ASML has had to make on EUV. Mike said ASML hasn’t ruled out a 0.7 or greater NA system, they are looking at it. He said they have ruled out shorter wavelengths than the current 13.5nm (authors note, at one time there was some discussion of a shorter wavelength system 6.xnm). They do want any new system to be air shippable which limits how much bigger the system can be than the 0.55 NA systems.
Nur so kurz nebenbei als kleines Update zur damaligen Diskussion.
reaperrr
2022-07-01, 19:15:31
Gibt es eigentlich schon einen Größenvergleich vom Snapdragon 8 in 4LPE mit dem 8+ von TSMC in N4?
Qualcomm zeigt auf den Folien ja up to 30% improved Power Efficiency und 10% Performance Boost, was in total dann 15% Power Savings bringen soll.
Ich habe noch keinen gesehen, aber Tatsache ist, dass Samsung's 4LPE nur eine weitere Revision ihres ursprünglichen 7LPP ist, mit nur moderater Verbesserung der Packdichte.
Samsungs 4LPE ist also eher sowas wie Samsungs N6 (nur ohne die gute Yield-Rate von TSMC...), an die Packdichte von N5(P) und N4 wird man sicher nicht rankommen, vor allem nicht bei Logiktransistoren.
Platos
2022-07-01, 19:43:09
Gibts denn Daten zu den Yieldraten ? Oder wieder mal übliches Samung-Bashing ?
Tobalt
2022-07-01, 19:46:01
Danke Orko.. Dann braucht ASML auch Starship um ein 0.7NA system shippen zu können :lol:
Skysnake
2022-07-01, 22:35:07
Also kürzere Wellenlängen als EUV werden als "klassische" Belichter wohl kaum noch kommen. Das hat man einfach schon zu ausgiebig versucht ohne Erfolg. Die Investitionen wären einfach gewaltig mit ungewissem Ausgang. Dann doch lieber gleich auf Multibeam Elektronenstrahl Lithographie gehen. Das hat noch echtes Potenzial und genug Stellschrauben zu Optimierung die nicht schon bis zum Zerreißen angezogen sind...
Tobalt
2022-07-02, 08:17:56
Sehr ich nicht so.
Um die Belichtungssensitivität zu bekommen, brauchst du auch bei EBL resist mit organischen Komponenten. Für eine ausreichend kleine FWHM spotsize bist du auch mindestens im keV bereich. Die Sekundärelektronen sind da ein massives Problem im Vergleich zu EUV, weshalb mir auch keine Ergebnisse bekannt sind mit EBL wo man deutlich unter 10 nm Auflösung erreicht hätte, selbst für einzelne Strukturen.
Feineres ist mir nur mittels STEM >100keV bekannt, wo man zB freistehende Graphen-membranen atomgenau schneiden kann. Aber freistehend ist hier das Stichwort. Das ist aktuell enorm weit von Si-Kompatibilität entfernt
Tobalt
2022-07-03, 10:49:10
Wenn ich so drüber nachdenke, könnte man aber statt Elektronen zB. Sauerstoff-Ionen nehmen und als Resist ein Metall wie Al/Cr, dessen Oxide sehr resistent sind. Keine Sekundärelektronenproblematik.
Ich weiß aber auch dazu von keinen Demos von deutlich unter 10 nm Auflösung.
smalM
2022-07-04, 01:29:29
Machen könnte man vieles.
Es muß sich aber nicht nur in die Massenfertigung überführen lassen können und dann auch noch rentabel zu betreiben sein, die Entwicklung bis dahin muß auch bezahlbar sein, ohne die entwickelnde Firma in den Ruin zu treiben. Schon EUV ist daran fast gescheitert.
Tobalt
2022-07-04, 05:35:57
EUV ist auch höchst exotisch. Und niemand hatte das davor nennenswert für Litho genutzt.
EBL hingegen ist ein absolutes Standardverfahren, was bereits jetzt überall vorhanden ist. Im Vergleich zu DUV war "nur" langsam. (Im Vergleich zu EUV sehe ich aber auch keinen Auflösungsvorteil mehr wie oben erwähnt)
Ionenmikroskope, FIB, FEBID und natürlich Ionenimplanter sind auch heute schon gängige Geräte..Deshalb wäre man auch wieder beim Thema dass es "nur" langsam wäre. Ich halte das für überhaupt nicht vergleichbar zum Zustand von EUV vor dessen kommerzieller Umsetzung
Skysnake
2022-07-04, 10:22:43
Sehr ich nicht so.
Um die Belichtungssensitivität zu bekommen, brauchst du auch bei EBL resist mit organischen Komponenten. Für eine ausreichend kleine FWHM spotsize bist du auch mindestens im keV bereich. Die Sekundärelektronen sind da ein massives Problem im Vergleich zu EUV, weshalb mir auch keine Ergebnisse bekannt sind mit EBL wo man deutlich unter 10 nm Auflösung erreicht hätte, selbst für einzelne Strukturen.
Feineres ist mir nur mittels STEM >100keV bekannt, wo man zB freistehende Graphen-membranen atomgenau schneiden kann. Aber freistehend ist hier das Stichwort. Das ist aktuell enorm weit von Si-Kompatibilität entfernt
Ja klar, Sekundärelektronen sind wohl zusammen mit den Resists das größte Problem für die minimale Auflösung. Prinzipiell hat man aber noch genug Luft nach oben und muss nicht wie bei EUV schon wieder über Mutlipatterning gehen.
Zudem hat man keinerlei Rüstzeiten oder Kosten für die Masken, die ja bei EUV schon sehr hoch werden. Zudem gehen EUV Masken ja kaputt und man ist ja am Rand dessen, das man eine Maske für EINEN Belichter erstellen muss. Aktuell ist man wohl nochmals drum rum gekommen, aber bei HighNA denke ich wird es dann wirklich kommen.
Das sind alles Punkte, die die Kostenseite bei neuen Nodes mit EUV nach oben katapultieren.
MultiBeamElectronBeamLithography ist dagegen recht etabliert und hat wie gesagt noch einige Stellschrauben. Das größte Problem sind halt die Belichtungszeiten... Wenn man für nen Wafer ne Stunde braucht dann bringt das am Ende vom Tag nichts. Dafür braucht es dann aber auch schon sehr sehr viele Beams. Wenn ich micht recht erinnere hatte ich von hundertausenden-Millionen gelesen. Aktuell hat man wohl maximal niedrige vierstellige Werte erreicht...
Aber das ist halt am Ende vor allem ein Ingenieurswissenschaftliches Problem, das man mit genug Geld am Ende durchaus erschlagen kann.
Die ganzen Vorarbeiten zur Belichtung unter Vakuum wurden ja z.B. schon von EUV erledigt.
Was MBEML in die Hände spielt ist auch, dass das scheinbar in kleinem Maßstab (<100 Beams) für die EUV Masken eingesetzt wird. Da sammelt man also noch mehr Erfahrung. Zudem ist die Belichtungsdauer ja von der Fläche abhängig die man belichten muss. Sprich feinere Strukturen mit weniger Gesamtfläche sind schneller belichtet als gröbere mit wenig Fläche. (Und jaja, man kann für grobe Strukturen auch die Beamintensität hochdrehen, weil man die Sekundärelektronen nicht so beachten muss...)
Die Strukturen für GAA usw Transistoren werden schon verdammt klein. Also zumindest ich kann mich durchaus gut vorstellen, das man da den Break Even Point erreichen kann.
Es würde halt auch total verändern wie die ganze Industrie funktioniert. Man kann einfach mal Testchips machen usw usf weil man die hohen initialen Kosten der Masken nicht hat. Das würde schon sehr viel vereinfachen und auch die TimetoMarket verbessern.
Auch das Recticle Limit wäre ja nicht mehr relavant, weil man nahtlos belichten kann. Kurz um. Man bekommt schon nochmals einige Freiheiten dazu. Man muss Sie am Ende vom Tag dann "nur" nutzen.
Aber klar, günstiger als EUV jetzt wird es damit nicht werden für eine lange lange Zeit.
Wobei man sich auch mal anschauen müsste, was für eine Standzeit so ein Belichter dann hätte. daran gibt es ja nicht mehr viel was kaputt gehen könnte. Ganz anders als die EUV anlagen, bei denen alles mit der Zeit kaputt geht. Also auch gerade der Hauptspiegel.
Wenn ich dann plötzlich Maschinen 20 Jahre+ betreiben kann, dann sinken die Kosten halt auch mit der Zeit immer mehr. Ich brauch dann halt "nur" genug FABs und Maschinen...
Gratzner
2022-07-04, 10:42:06
MultiBeamElectronBeamLithography ist dagegen recht etabliert und hat wie gesagt noch einige Stellschrauben. Das größte Problem sind halt die Belichtungszeiten... Wenn man für nen Wafer ne Stunde braucht dann bringt das am Ende vom Tag nichts. Dafür braucht es dann aber auch schon sehr sehr viele Beams. Wenn ich micht recht erinnere hatte ich von hundertausenden-Millionen gelesen. Aktuell hat man wohl maximal niedrige vierstellige Werte erreicht...
Der TROM2 vom Fraunhofer Isit und IMS Nanofabrication hat 512^2=262.144 einzeln ansteuerbare Elektronenstrahlen. Wird bisher zum Maskenschreiben verwendet. Also TROM2 ist das Herzstück/Chip des Maskenschreibers, welcher die Elektronenstrahlen steuert, das Gerät als Ganzes nennt sich MBMW-201 (multi beam mask writer)
Edit: natürlich wird man damit heute keine Chips belichten oder bauen (das Ding ist übrigens ein Maskenschreiber und kein Belichter). Ich wollte damit nur sagen, die electronen-multi-beam Anwendungen sind weit Fortgeschritten, der MBMW-201 ist bspw. schon seit 3 Jahren kommerziell erhältlich. Für Elektronenmehrfachstrahlbelichtungen brauch man noch Forschung. Die Industrie benutzt EUV für die Belichtung und wird für die nächsten Jahren für die Belichtung EUV weiterhin verwenden (Darin wurde nunmal massiv investiert). Die Elektronenmehrfachstrahlbelichtung ist Zukunftsmusik für die nächsten Jahrzehnte
Zossel
2022-07-04, 10:53:36
Der TROM2 vom Fraunhofer Isit und IMS Nanofabrication hat 512^2=262.144 einzeln ansteuerbare Elektronenstrahlen. Wird bisher zum Maskenschreiben verwendet. Also TROM2 ist das Herzstück/Chip des Maskenschreibers, welcher die Elektronenstrahlen steuert, das Gerät als Ganzes nennt sich MBMW-201 (multi beam mask writer)
Was würde ein Handy kosten wenn man die Chips da drin mit so einem Ding belichtet?
basix
2022-07-04, 11:26:29
Ja klar, Sekundärelektronen sind wohl zusammen mit den Resists das größte Problem für die minimale Auflösung. Prinzipiell hat man aber noch genug Luft nach oben und muss nicht wie bei EUV schon wieder über Mutlipatterning gehen.
Zudem hat man keinerlei Rüstzeiten oder Kosten für die Masken, die ja bei EUV schon sehr hoch werden. Zudem gehen EUV Masken ja kaputt und man ist ja am Rand dessen, das man eine Maske für EINEN Belichter erstellen muss. Aktuell ist man wohl nochmals drum rum gekommen, aber bei HighNA denke ich wird es dann wirklich kommen.
Das sind alles Punkte, die die Kostenseite bei neuen Nodes mit EUV nach oben katapultieren.
MultiBeamElectronBeamLithography ist dagegen recht etabliert und hat wie gesagt noch einige Stellschrauben. Das größte Problem sind halt die Belichtungszeiten... Wenn man für nen Wafer ne Stunde braucht dann bringt das am Ende vom Tag nichts. Dafür braucht es dann aber auch schon sehr sehr viele Beams. Wenn ich micht recht erinnere hatte ich von hundertausenden-Millionen gelesen. Aktuell hat man wohl maximal niedrige vierstellige Werte erreicht...
Aber das ist halt am Ende vor allem ein Ingenieurswissenschaftliches Problem, das man mit genug Geld am Ende durchaus erschlagen kann.
Die ganzen Vorarbeiten zur Belichtung unter Vakuum wurden ja z.B. schon von EUV erledigt.
Was MBEML in die Hände spielt ist auch, dass das scheinbar in kleinem Maßstab (<100 Beams) für die EUV Masken eingesetzt wird. Da sammelt man also noch mehr Erfahrung. Zudem ist die Belichtungsdauer ja von der Fläche abhängig die man belichten muss. Sprich feinere Strukturen mit weniger Gesamtfläche sind schneller belichtet als gröbere mit wenig Fläche. (Und jaja, man kann für grobe Strukturen auch die Beamintensität hochdrehen, weil man die Sekundärelektronen nicht so beachten muss...)
Die Strukturen für GAA usw Transistoren werden schon verdammt klein. Also zumindest ich kann mich durchaus gut vorstellen, das man da den Break Even Point erreichen kann.
Es würde halt auch total verändern wie die ganze Industrie funktioniert. Man kann einfach mal Testchips machen usw usf weil man die hohen initialen Kosten der Masken nicht hat. Das würde schon sehr viel vereinfachen und auch die TimetoMarket verbessern.
Auch das Recticle Limit wäre ja nicht mehr relavant, weil man nahtlos belichten kann. Kurz um. Man bekommt schon nochmals einige Freiheiten dazu. Man muss Sie am Ende vom Tag dann "nur" nutzen.
Aber klar, günstiger als EUV jetzt wird es damit nicht werden für eine lange lange Zeit.
Wobei man sich auch mal anschauen müsste, was für eine Standzeit so ein Belichter dann hätte. daran gibt es ja nicht mehr viel was kaputt gehen könnte. Ganz anders als die EUV anlagen, bei denen alles mit der Zeit kaputt geht. Also auch gerade der Hauptspiegel.
Wenn ich dann plötzlich Maschinen 20 Jahre+ betreiben kann, dann sinken die Kosten halt auch mit der Zeit immer mehr. Ich brauch dann halt "nur" genug FABs und Maschinen...
Interessante Punkte, die du da aufbringst. Insbesondere der Teil mit Verschleissteilen, Rapid Prototyping und Reticle Limit. Beim Elektronenstrahl kann man "Linsen" mit einem E-Feld realisieren, was enorme Freiheitsgrade zulässt und Null Absorption mitbringt.
Da keine Masken benötigt werden: Lead Time / Time to Market für Chips könnte kurzer sein, da nicht zuerst noch die Masken gemacht werden müssen. Allenfalls sind auch Korrekturen der Belichtungslayer einfacher und schneller machbar.
Aber ich denke, dass man das Reticle Limit aber je länger desto weniger antasten wird und auf Chiplets setzen wird. Ist Punkto Yield einfach besser, auch mit Elektronenstrahllithographie. Da sind so Sachen wie 3D-Packaging oder Wafer Scale Engine mässiges zusammenstitchen von Chips mMn zukunftsträchtiger.
Was würde ein Handy kosten wenn man die Chips da drin mit so einem Ding belichtet?
Das ist immer eine Skalierungsfrage. Bei so extrem ungleicher Skalierung wird ein Kostenvergleich schwierig. Was man allenfalls abschätzen könnte: Wie lange dauert es, einen 300mm Wafer zu belichten vs. EUV. Letzteres liegt momentan bei ~170WPH der ~20sec pro Wafer.
Edit:
https://www.ims.co.at/produkte/
Der entscheidende Vorteil unseres Elektronen-Multistrahl-Maskenschreibers gegenüber der herkömmlichen Technologie mit einem einzigen variablen Formstrahl (VSB – variable shaped beam) ist eine verbesserte Produktivität mit einer Maskenschreibzeit von weniger als 10 Stunden. Dadurch werden komplexe Masken-Muster insbesondere für kurvilineare Inverse Lithographie Technologie (ILT) ohne Erhöhung der Maskenschreibzeit möglich.
Eine EUV Maske ist 6x6 Zoll (von der Fläche her ~3x kleiner als ein 300mm Wafer).
- 300mm Wafer @ EUV = 20sec
- 300mm Wafer @ Elektronenstrahl = 60h = 216'000 sec
--> wir sind also noch 10'000x vom Praxiseinsatz entfernt ;)
--> 10'000x auf dein Smartphone angewandt: Big Money ;)
--> 262k Elektronenbeams * 10k = 2.62 Milliarden Beams
Gratzner
2022-07-04, 11:48:14
@basix, so kann man das nicht rechnen. Nochmals: Maskenschreiben ist nicht das gleiche wie Belichten. Deshalb gibt man den Dingen unterschiedliche Namen. Maskenschreiben ist meiner Meinung nach viel mehr Arbeit als Belichten. Die EUV-Masken sind übrigens keine klassischen Masken sondern Bragg-Spiegel (aus Silizium und Molybdän), darauf wird dann ein Absorbermaterial (Tantal-basiert) draufgebracht und strukturiert
basix
2022-07-04, 12:03:17
@basix, so kann man das nicht rechnen. Nochmals: Maskenschreiben ist nicht das gleiche wie Belichten. Deshalb gibt man den Dingen unterschiedliche Namen. Maskenschreiben ist meiner Meinung nach viel mehr Arbeit als Belichten.
Mir ist schon klar, das Belichten und Maskenschreiben nicht das selbe ist ;) Du kannst aber gerne einen Faktor abschätzen, was du mit "viel mehr Arbeit" anführst. Was ist das zwischen Maskenschreiben und Elektronenstrahl-Belichtungen? Sind es 2x, 10x, 100x? Ich habe da absolut Null Infos dazu.
Was aber klar sein sollte: Man ist noch weit weg. Und für mindestens die nächsten 10-15 Jahre wird EUV wohl state of the art bleiben.
Zossel
2022-07-11, 09:24:07
Glofo und STMicro bauen große 300-mm-Fab in Frankreich (https://www.golem.de/news/european-chips-act-glofo-und-stmicro-bauen-grosse-300-mm-fab-2207-166762.html)
Tobalt
2022-07-11, 11:24:53
18 nm ?? Also wird der 12FDX wieder nicht genutzt ? :lol:
Steht doch da, ist ein FDSOI-Prozess. Ist halt sicherlich einfach ein anderer Marketingname.
Tobalt
2022-07-11, 18:49:32
Ja in dem Artikel steht alles und nichts: 18 nm, 12FDX und 22FDX. Nichtssagend
Unicous
2022-07-11, 19:08:38
Der 12nm FDSOI Prozess wurde 2020 verschoben, verstehe die Häme nicht? Und was ist daran nichtssagend?
Die Fab wird anscheinend exklusiv für Wafer von ST Micro verwendet und da ist der 18nm Prozess der in Zusammenarbeit mit Samsung entstanden ist momentan das Höchste der Gefühle. GFs 12nm FDSOI soll frühestens 2023/2024 an den Start gehen, warum sollte man also von einem Prozess sprechen der noch nicht Realität ist.
Interessant ist doch eher, die Zusammenarbeit der beiden "Rivalen". Geht hier offensichtlich auch darum den Finanztopf anzuzapfen bevor er versiegt.
Zossel
2022-07-11, 19:21:51
Künstliche Intelligenz kann teils bessere Chips entwerfen als von Menschen geschriebene Software. Nvidia hat dies bei Hopper eingesetzt. (https://www.golem.de/news/prefixrl-nvidia-macht-gpu-schaltungen-ein-viertel-kompakter-2207-166781.html)
Eigentlich gar nicht so doof, man trainiert das NN mit den elektrischen Simulationen von dem Layout, Stromaufnahmen und Frequenzen wären ja das Ergebnis der Simulationen.
Braucht aber bestimmt viele und schnelle Rechner die viel Strom verbrauchen.
Tobalt
2022-07-11, 20:40:16
Also mein (nicht sehr fundierter) Kenntnisstand ist, dass 12FDX seit Jahren (deutlich vor 2020) fertig validiert ist, aber nie eine Produktionsstraße gebaut wurde, mangels Nachfrage. Demnach ist der Prozess der "fortschrittlichste" SOI Prozess im Konkurrenzvergleich.
Deshalb verstehe ich nicht, wieso man nun auf ST 18nm setzt.
Ich lasse mich gerne belehren.
Unicous
2022-07-11, 21:21:30
Ein nur auf dem Papier vorhandener Prozess kann nicht der fortschrittlichste Prozess sein, das ist augenscheinlich bei (FD)-SOI momentan der 18nm Prozess. Daher kann ich dein "fertig validiert" nicht nachvollziehen. Der Prozess war noch nicht einmal in risk production, geschweige denn hat GF (afaik) die entsprechenden Tools für die Produktion eingekauft. Tests zu "Laborbedingungen" sind keine Validierung für volume production.
ST Micro haben letztes Jahr zusammen mit Samsung einen 18nm Protypen vorgeführt, bei 12FDX ist man nicht einmal in der prototyping Phase. Das heißt der 18nm Prozess an sich ist in der Tat "production ready". Mir stellt sich eher die Frage ob ST Micro den Prozess lizensiert oder ob das im Endeffekt nur Marketinggewäsch ist, und da vorerst trotzdem nur in 22FDX gefertigt wird.
Aber all das ist vollkommen egal. Denn wen interessiert das im Endeffekt? Es ist eine Fab zur Produktionssteigerung nicht für state of SOI-art. Und das heißt auch wiederum nicht, dass die Fab nicht in Zukunft in 12nm fertigen könnte oder GF in Dresden auf 12FDX umrüstet. Der Bedarf scheint jedenfalls aktuell noch nicht da zu sein und dass GF hier wartet ist mehr als verständlich. Sie können froh sein, dass die Umstrukturierung und Fokussierung auf SOI-Prozesse endlich Früchte trägt, auch wenn das ein wenig der Chip-Krise zu verdanken ist, sie haben schlicht das Geld nicht in einen Prozess zu investieren der wenn überhaupt nur wenige Kunden lockt.
Die Kosten für den Prozess und die versprochenen Vorteile sind aller Voraussicht nach nicht ausreichend um einen Umstieg zu rechtfertigen. Dass die SOI Prozesse so nachgefragt sind, liegt vor Allem daran, dass hier noch Kapazitäten vorhanden waren und der Aufpreis zu billigeren Bulk-Prozessen es wert war solange man Chips aus den Fabs bekommt anstatt auf dem "Trockenen" zu sitzen und die Produktion herunterzufahren.
Mehr Fabs bedeutet prinzipiell auch mehr output und das ist per se schon mal eine gute Entwicklung und führt zu Entlastungen in anderen Fabs die dann zum Beispiel umrüsten können wenn nötig.
basix
2022-07-12, 07:13:55
Künstliche Intelligenz kann teils bessere Chips entwerfen als von Menschen geschriebene Software. Nvidia hat dies bei Hopper eingesetzt. (https://www.golem.de/news/prefixrl-nvidia-macht-gpu-schaltungen-ein-viertel-kompakter-2207-166781.html)
Eigentlich gar nicht so doof, man trainiert das NN mit den elektrischen Simulationen von dem Layout, Stromaufnahmen und Frequenzen wären ja das Ergebnis der Simulationen.
Braucht aber bestimmt viele und schnelle Rechner die viel Strom verbrauchen.
Nvidia nutzt das laut eigenen Aussagen schon länger. Gab mal ein Interview mit dem Dally, dem Chef-Ingenieur von Nvidia. Der Einsatz solcher Techniken wird sich aber sicher noch verstärken.
AMDs automatisiert erstellten Libraries sind ja ebenfalls maschinell generierte Funktionsblöcke. Ob mit ML oder nicht, ist mir nicht bekannt.
Wörns
2022-07-12, 12:35:45
Das Missverständnis bei einigen hier im Forum ist: je kleiner, desto fortschrittlicher.
Für SOI gelten aber ganz andere Maßstäbe.
FdSOI kann man z.B. mit Antennenmodulen verheiraten, wobei der Prozess dann viel gröber ist und man auch nichts von einer weiteren Verkleinerung hat. Es geht vielmehr darum, die Integration zu erhöhen, weil man zwischen zwischen Antenne, Filter und ein bisschen Logik keine weiteren Schnittstellen gebrauchen kann.
Dann gibt es Chips, die einfach einen viel weiteren Temperaturbereich abdecken müssen, z.B. im Automobil. Keine feineren Strukturen benötigt.
Radiation hardened: gröbere Strukturen deutlich bevorzugt.
Und es gibt viele andere Beispiele, aber kaum einen Grund, kleinere, und damit teurere Strukturen zu verwenden. Man muss immer bedenken, dass der Sweet Spot (Kosten) irgendwo zwischen 18 und 28nm liegt.
MfG
Tobalt
2022-07-12, 16:39:51
Das ist auch mein Eindruck, wieso gerade bei FDSOI der Shrink nicht wirklich Kunden anzieht. Sonst wäre Glofo doch da viel mehr dahinter, den einsatzreif aufzustellen.
Das "fortschrittlich" weiter oben bezog sich auch eher da drauf, dass in dem Prozess halt alles aus 22FDX oder ST-18nm möglich ist, aber auch noch mehr. Ob dieses mehr von irgendjemandem benötigt wird, ist eben die andere Frage.
Ich bin gespannt, ob 12 FDX noch kommt. Kosten für die gleichen Dies sollten eher wachsen dadurch und wer sehr viel Logik braucht, nutzt einen deutlich kleineren Bulk Prozess von TSMC oder Samsung.
smalM
2022-07-12, 19:07:09
Daher kann ich dein "fertig validiert" nicht nachvollziehen.
Fertig validiert und keine Kunden gefunden ist schlicht die Information, die zu dem Prozeß bekannt geworden ist. Mehr gibbet nicht.
Unicous
2022-07-12, 20:35:11
Ich wusste gar nicht, dass "Fertig validiert" eine offizielle Begrifflichkeit ist.:rolleyes:
Kannst du mir dann mal erzählen was so alles unter "fertig validiert" fällt, bei einem Prozess der nicht mal eine Produktionsstraße besitzt. :uponder:
Kein prototyping, keine tape outs, keine risk production, keine volume production aber... der Prozess ist "fertig validiert".
Bitte, bitte erkläre mir was unter "fertig validiert" zu verstehen ist, denn mir fehlt hier leider die Vorstellungskraft.:redface:
@Wörns
Das ist einerseits vollkommen richtig. Einige Bereiche brauchen nicht einmal ansatzweise state of the art bzw. beharren darauf, weil die Prozesse tried and true und günstig sind. Für einen neuen Prozess müssen Millionen, je nach Skalierung hunderte Millionen an Investitionen getätigt werden nur um ein einziges Produkt zu portieren. Da lässt man die Chips lieber weiterhin in 65nm fertigen.
12FDX bietet deutliche Vorteile die aber bislang nicht die Initialkosten für einen neuen Chip aufwiegen. Das kann und wird sich in den nächsten Jahren ändern, denn die Begehrlichkeiten wachsen überall. Kunden werden ihre alten Designs nicht portieren, aber in den nächsten Jahren zwangsläufig umsteigen. Meines Erachtens gibt es auch noch viele Vorbehalte gegen über (FD-)SOI. Da wird dann die Verlässlichkeit, Haltbarkeit, Langlebigkeit in Frage gestellt und darauf gepocht, dass Bulk-Prozesse das Nonplusultra darstellen und daran auch nicht zu rütteln ist.
Kunden die z.B. schon in 28nm Bulk fertigen lassen werden den Sprung zu 22FDX nicht wagen, weil die Kosten zu hoch und die Vorteile die nötigen Investitionen nicht rechtfertigen.
Bei 12FDX sieht es aber schon etwas anders aus. Spontan fallen mir da Smartwatches ein. Da werden afaik schon Chips auf FDSOI Basis genutzt und Akkulaufzeiten sind dort weiterhin problematisch gering. Während Apple sich es leisten kann in ihren 400+ Euro Uhren 7nm FinFET Chips zu verbauen gibt es auch noch Preisklassen darunter wo dann FDSOI eine gute und vor Allem günstigere Alternative darstellen würde.
22FDX ist mittlerweile sehr begehrt, GF selbst sagte letztes Jahr sind sind bis 2023 völlig ausgebucht und es würde mich nicht wundern, wenn sich nächstes Jahr für 12FDX erste Interessenten melden und 2024 dann erste Chips vom Band laufen.
Tobalt
2022-07-13, 05:45:36
Fertig validiert heißt für mich, dass die komplette Prozesskette etabliert ist mit den Tools, die dafür dann auch in der Massenproduktion zum Einsatz kommen würden. Also die ganzen Rezepte sind bekannt und haben in dem Testmaßstab brauchbare Yields erzielt.
Wörns
2022-07-13, 11:36:29
@Unicous
Der am meisten verbreitete fdSOI Chip ist ein GPS Chip von Sony.
In Smartwatches kommt fdSOI tatsächlich seit langem zum Einsatz: die Amazfit war afaik die erste ihrer Art.
Dann basieren quasi alle neueren FPGAs, die für die Edge genutzt werden, auf fdSOI. Das sind natürlich nicht die Monster von Altera und Xilinx sondern sowas wie Lattice Certus, QuickLogic ArcticPro oder Flex Logic EFLX.
Dann kann man sich mal die Speichertechnologien von morgen ansehe, wie z.B. MRAM von Samsung oder CBRAM von Renesas oder RRAM von WeeBit Nano. Basieren alle auf SOI.
Aber die Fabriken bekommt man schon fast alleine mit RFSOI gefüllt, weil mit den neueren Mobilfunk Technologien viel mehr Antennen in den Smartphones benötigt werden.
@12FDX
Irgendwann sind auch mal Intel und TSMC auf den Lowpower Zug aufgesprungen und haben entsprechende Prozesse entwickelt. Intel in 22nm und TSMC in 12nm FinFet jeweils auf Silizium Basis ohne SOI. Die werden aber auch nicht nachgefragt, weil sie zu teuer sind und weniger an Zusatzfunktionalität zu bieten haben wie radiation hardening oder erweiterte Temperaturbereiche. Ich denke, jenseits von 18nm wird es einfach zu teuer.
MfG
smalM
2022-07-14, 11:40:48
@Unicous
Anscheinend hast Du es nicht verstanden, also noch einmal auf Hochdeutsch: Mehr gibt es nicht!
Ich bin nicht Deine Erklärbär, reim' Dir selber was aus den beiden Informationen zusammen.
Unicous
2022-07-14, 11:57:28
Also du hast, wie auch Tobalt, keinen Schimmer wovon du sprichst. Gut, dass wir das geklärt haben.:wink:
Tangletingle
2022-07-14, 12:05:03
Seit wann ist ein Prozess erst mit production validiert?
Zossel
2022-07-21, 11:36:31
Chinas Halbleiterfertigung: SMIC fertigt und liefert 7-nm-Chips (https://www.computerbase.de/2022-07/chinas-halbleiterfertigung-smic-fertigt-und-liefert-7-nm-chips/)
basix
2022-07-21, 20:25:52
Das ist ja mal ein Ding. Bis anhin war immer nur von 12/14/16nm Klasse die Rede.
Unicous
2022-07-21, 20:38:13
ASML hat ihnen die Belichter letztes Jahr verkauft, den Rest haben sie ja durch Industriespionage direkt von TSMC.:freak:
Würde mich nicht wundern wenn China weiterhin "Doppelagenten" wie Katy Liu beschäftigt, die SMIC erst in die Lage versetzt hat ein 28nm Verfahren in die Gänge zu leiten.
ChaosTM
2022-07-21, 20:55:25
Die haben/besorgen (sich) fast alles was sie brauchen und hinken höchstens 2 Generationen hinterher wie man sieht. Und das wird sowieso immer unwichtiger, wegen des abnehmenden Grenzertrags.
Unicous
2022-07-21, 22:45:33
Nunja. Ich kann mir momentan nicht vorstellen, dass ASML EUV-Belichter an China verkauft und ASML ist der einzige Anbieter.:wink:
Und die Chips die sie momentan "produzieren" gehen mMn gerade mal als glorifizierte Test-Chips durch. Ich wette ihnen fehlt das Knowhow und die Ingenieure einen anständigen Yield bei größeren Chips hinzubekommen, ein 20mm² Die ist lachhaft. Wie hoch der Yield bei den Chips ist wissen wir ja auch nicht, wie hoch die defect density...
Wenn sie einen 80mm²+ Chip in Masse produzieren können kommen wir schon in andere Sphären. Ich sehe hier eher, dass sie auf Grund von Industriespionage dem Profitstreben eines Monopolisten und Milliarden Investionen in die Lage versetzt wurden einen popeligen Testchip zu produzieren.
Das sagt nichts über die wirklichen Fähigkeiten des Prozesses aus. Man erinnere sich an Samsungs "Industriespionage" und wo Samsung jetzt steht. Das hat Samsung nur kurzzeitig einen Boost verschafft und seitdem haben sie eigentlich nur noch Probleme und hängen weiter hinterher.
Manchmal reicht einfaches Kopieren nicht, wenn man dann keine Ahnung hat wie man reagieren muss wenn erste Probleme auftreten, welche Maßnahmen man treffen muss um die Defektraten zu senken, den Yield zu erhöhen, etc.. China hat in der Hinsicht keine "problem solving" Kultur entwickelt. Die Vorgabe vom Staat ist eine fortschrittliche Prozesstechnologie zu "entwickeln". Aus dieser Herausforderung entsteht dann nicht die Absicht eine komplette Infrastruktur herzustellen mit einheimischen Zulieferern die ASML und Waferhersteller ersetzen, nein man klaut sich die Technologie zusammen und kauft dann im Westen die Produktionsmaschinen. Und weil man kein Knowhow hat und nicht wirklich in R&D investiert bleibt man trotz allem von "Außen" abhängig.
China geht hier immer den Weg des geringsten Widerstands und das wird sie weiterhin zurückwerfen.
EUV ist sicherlich ein recht großes Hindernis ... das man natürlich auch überwinden wird, irgendwann.
Unicous
2022-07-22, 02:02:40
Aber nur mit Hilfe mit ASML. China hat in der Vergangenheit nicht bewiesen, dass sie willens sind ihre eigene autonome Semi-Industrie aufzubauen. So gut wie alle Bereiche sind vom "Westen" abhängig. Sie gehen immer wieder den Weg des geringsten Widerstands und das ist IP-Diebstahl/Industriespionage und der Import von Tools und Maschinen. Dieser modus operandi hat sich nicht geändert. Ich sehe nicht, dass China innerhalb der nächsten Jahre EUV-Belichter ohne jegliche Hilfe von Außen herstellen kann. Ich glaube Nikon will irgendwann mal EUV-Belichter fertigen aber das wird aller Vorausicht nach noch ein paar Jahre dauern, Canon scheint eh schon aufgegeben zu haben und bleibt bei DUV.
Man schnippt nicht einfach so mit dem Finger und entwickelt von einem Tag auf den anderen einfach mal so einen EUV-Belichter. China weiß das, deswegen wollen sie auch EUV-Belichter von ASML einkaufen und die USA stellen sich dagegen quer.
Wir werden sehen wie das ausgeht, aber China bleibt weiterhin abhängig von ASML und Co.. Aus eigener Kraft werden sie so schnell keinen (EUV-)Belichter herstellen können. Hinzu kommt, dass ASML momentan volle Bücher hat.
Tobalt
2022-07-22, 05:53:31
Ein chinatypischer Move wäre es die Brechstange rauszuholen und auf EBL zu gehen.
So eine Resistformulierung kann man denke ich recht effizient klauen und die EBL Technik ist viel weniger komplex.
Kleine Demochips wären so plausibel
Skysnake
2022-07-22, 06:07:03
Bei EBL müssten sie auch keinen Rückstand aufholen. Praktisch wie bei den Autos. Beim Verbrenner keine Chance gehabt, aber beim Elektro sind Sie schon dran.
Tobalt
2022-07-22, 07:14:03
Dazu fällt mir ein: Der einzige chinesische Kollege, von dem ich fachlich je wirklich viel gehalten habe, ist nach zuletzt 8 Jahren Zeiss Jena (Charged Particle Optics) jetzt leider wieder in China und macht dort das selbe bei Huawei. Er war bestimmt 15+ Jahre in D.
Es mag stimmen, dass die fachlich guten Leute eher nicht nach China zurückgehen. Aber es braucht eben immer nur eine handvoll guter Köpfe und ein Heer an Händen um Dinge umzusetzen. Deshalb glaube ich schon dass es China schaffen wird, auch bleeding edge Litho irgendwann zu erreichen.
Ich sehe den Knackpunkt eher darin, dass zB ASML eben auch nur integriert. Da gibt es ja auch noch zig Ultra High Zech Zulieferer die alle mit kopiert werden müssten. Deswegen wird das dauern.
Leonidas
2022-07-23, 06:21:26
Die Chinesen haben Zeit ... und die Pläne sind teilweise nur so rasant, damit der entsprechende Handlungsdruck entsteht.
mboeller
2022-07-25, 16:34:32
bzgl SMIC:
Bloomberg:
SMIC has produced Bitcoin mining semiconductors with 7-nanometer technology since last July
https://www.thefinancialtrends.com/2022/07/22/china-now-produces-semiconductors-better-than-the-us/
aber:
SMIC FinFEF Process
According to SMIC professionals, there are four main modes of this process, namely 14nm, 12nm, N+1 process and N+2 process. There is no doubt about 14nm and 12nm. So what is N+? 1. What about the N+2 process?
According to the test performance of the chip, we can conclude that compared to the 14nm chip, the chip manufactured by the N+1 process has a 63% reduction in logic area, a 20% increase in performance, and a reduction in power consumption. Over 57%, all the data are very close to TSMC's 7nm process, but in the detailed comparison of performance, it can not reach the standard of 7nm chip.
Then SMIC began to develop the N+2 process again. To put it simply, the N+1 process focuses on low power consumption, while the N+2 process is mainly aimed at performance upgrades on the basis of the original. The 1 process has already achieved mass production, and the trial production of 7nm chips from SMIC before this should be the N+2 process, and it is expected that the trial production will be completed by the end of this year.
https://min.news/en/digital/f67d2e25083ca584ad8880aa4719b425.html
... da steht noch mehr interessantes
Also "7nm" nicht 7nm und bisher nur lowpower. Erst N+2 soll High-Performance ermöglichen (aber anscheinend immer noch nicht 7nm). Und dieses Jahr läuft da erst die Versuchs-Produktion
AffenJack
2022-07-25, 16:54:57
Leider hinter Paywall, aber die Aussage ist trotzdem interessant bezüglich samsungs Prozess:
A bit of subscriber content. Most of the info is from earlier this year. Mostly high-level stuff but I do have a some interesting bits like actual yield info disclosed which is *VERY* different from all rumors you may have read.
https://twitter.com/david_schor/status/1551556438597767169
Kann nur bedeuten, dass die Yields bei Samsung gar nicht so schlecht sind, wie sie gemacht werden und das eher FUD ist. Denn positive Nachrichten zu Samsungs Yields gab es ja nie sonst.
Platos
2022-07-25, 17:08:04
Hmm, naja, dennoch prüft Samsung sogar intern, wie die Yieldrate (wirklich) aussieht. Das hört sich für mich etwas überzeugender an, wie so ein Twitter-Post.
Würde ich aber begrüssen. Denn es ist ja mittlerweile Gang und Gäbe, Samsung schlechte Yields zuzuschreiben.
Unicous
2022-07-25, 19:55:09
Schor ist kein Irgendwer, aber dass die Yield-Rate nicht so problematisch sein kann dürfte ja schon länger bekannt sein. Dass die Performance im Vergleich zu wünschen übrig lässt ist aber kein Geheimnis und das ist für den Endkunden ja die Hauptsache.
Zu SMIC. Die Parameter wurden schon 2020 kommuniziert und sind daher nichts Neues.
Der N+2 Prozess soll(te)ursprünglich wohl EUV nutzen
Which isn't to say that the company hasn't considered EUV – the company did acquire an EUV step-and-scan system – but it has not been installed, reportedly because of restrictions imposed by the US. As a result, it will be SMIC’s N+2 that will use EUV.
https://www.anandtech.com/show/15649/smic-details-its-n1-process-technology-7nm-performance-in-china
Ob das immer noch so ist kann bezweifelt werden, aber ich schätze mal sie haben einfach einen "High Performance" DUV-Prozess draus gemacht mit gelockerten Parametern.
Zossel
2022-07-26, 07:40:22
Intel has a new direction, and that is becoming a foundry competing with TSMC not just for Intel-designed chips but for other vendors as well. Today, Intel announced a new deal with MediaTek that will see MediaTek use Intel Foundry Services (IFS) as a foundry partner and source for some MediaTek chips in the future. (https://www.servethehome.com/intel-foundry-services-inks-mediatek-deal/)
Mal schauen ob das Intel dieses Mal hinbekommt.
Unicous
2022-07-26, 08:40:01
Ein fünf Jahre alter Prozess für Low Power Chips ist nicht wirklich das was ich von einer "leading edge Foundry" erwarte. :wink:
Wenn Intel Foundry Partner-Chips in "7nm" oder 4nm ankündigt kann man darüber nachdenken, ob Intel in Konkurrenz zu TSMC treten kann.
Diese Ankündigung ist mMn nichts weiter als eine PR-Wohlfühlstory für Investoren und wenn dann sollten sie deutlich mehr Kundeninteresse vorzeigen um ernst genommen zu werden.
smalM
2022-07-26, 19:11:48
Wenn Intel Foundry Partner-Chips in "7nm" oder 4nm ankündigt kann man darüber nachdenken, ob Intel in Konkurrenz zu TSMC treten kann.
Die für Foundry-Kunden vorgesehenen Versionen dieser Prozesse sind ja noch gar nicht fertig, also kann es auch noch keine Kundendafür geben.
Erst einmal hat Intel für einen schon angebotenen Kunden-Prozeß einen nicht unbedeutenden Kunden an Land gezogen und das darf man dann ruhig auch als Erfolg anerkennen. Daß man trotzdem Intels Foundry-Plänen reserviert gegenübersteht, bleibt davon ja unbenommen.
Unicous
2022-07-26, 19:37:29
:rolleyes:
Natürlich. Ein fünf Jahre alter, aus Marketinggründen umgelabelter, auf Low Power optimierter Prozess der zuletzt bei dem außerordentlich erfolgreichen Lakefield genutzt wurde ist ein "great success"(y).
Wir müssen gar nicht in die leading edge Sterne greifen. Wie wäre es stattdessen mit 14nm.:uponder: Achso der wird immer von Intel selbst genutzt, na dann warten wir eben noch ein paar Jahre bis er "fertig" ist.:rolleyes:
Währenddessen fertigen die Foundries Samsung und TSMC für Mediatek und Co. bereits seit Monaten in 3nm/4nm.:freak: (Gut Samsung wohl eher weniger, aber das ist nebensächlich).
Wie viele Jahre müssen wir noch auf Gelsinger's leading-edge IFS warten und ist dann der nächste Zug dann auch schon wieder abgefahren?
Intel hat nicht einmal die Kapazitäten um ihre eigene Nachfrage bei ihren aktuellsten Prozessen zu bedienen (also je nach Marktlage könnte das in einigen Monaten anders aussehen:wink:) wo soll da Kapazität für Foundry-Kunden enstehen?:confused:
Gut für Intel, dass sie einen Teil ihrer alten Fabs mit Low Power Mediatek Chips teilweise auslasten können und man kann für Mediatek nur hoffen, dass das ein guter Deal war, aber das als großen Durchbruch und Erfolg zu feiern ist nichts als Augenwischerei.
ChaosTM
2022-07-26, 19:44:47
Ja, es ist gut für Intel.
Sie sind derzeit nicht in der Lage ganz oben mitzuhalten und man macht das beste daraus.
Und Intel hat die Kapazitäten, sonst würde man solche Aufträge nicht annehmen.
Skysnake
2022-07-27, 07:40:01
Intel mussmit Synopsis und Cadence zusammen arbeiten um gute PDKs für die Toolchains zu bekommen. Das ist das absolute Minimum.
Danach braucht es dann einen Sack voll IP die Intel den Leuten zu guten Preisen anbietet. Also
SRAM
ESD-Strukturen
Memory Controller
SATA Controller
PCIe Controller
....
Also den ganzen IO Kram müssen die Kunden aus dem Regal nehmen können, weil die Entwicklungskosten prohibitiv hoch sind...
smalM
2022-07-27, 22:29:13
Ich halte durchaus für positiv, daß Intel mit einem Prozeß, den sie völlig beherrschen, wieder ins Foundry-Geschäft einsteigt. Das wichtigste im Foundry-Geschäft sind nämlich nicht gaaanz tolle Prozesse, sondern Aufträge zuverlässig beliefern zu können.
@Skysnake
Du hast natürlich recht, aber das sehe ich seit dem Kauf von TSEM weniger kritisch als zuvor. Man hat die gut 5 Mrd.$ mMn. nicht für das Geschäft von TSEM, sondern für das Knowhow, wie das Geschäft zu betreiben ist, ausgegeben.
Skysnake
2022-07-27, 23:22:09
Ja, das sehe ich auch so. Das erfordert schon viel Verständnis und wenn man sich so bei älteren Hasen umhört, hat es Intel da dicke verkackt bei den vorherigen Versuchen...
Zossel
2022-07-28, 06:48:02
Ja, das sehe ich auch so. Das erfordert schon viel Verständnis und wenn man sich so bei älteren Hasen umhört, hat es Intel da dicke verkackt bei den vorherigen Versuchen...
Und Intel muss Vertrauen aufbauen das nicht eigene Produkte bevorzugt in die Fertigung geschoben werden.
S. a. ARM vs. NV.
Skysnake
2022-07-28, 07:27:36
Ja, das kommt noch in Top drauf.
Intel ist einfach keine Firma der man da viel zutraut oder auch nur vertraut. Das ganze Geschäftsgebaren der letzten Jahre bzw sogar schon Jahrzehnte gibt dazu einfach keinerlei Grund
Zossel
2022-07-29, 07:44:51
Intels Aktien haben im nachbörslichen Handel um bis zu 10 Prozent nachgegeben, nachdem der Chipkonzern deutlich weniger Umsatz und sogar einen Verlust für das letzte Quartal gemeldet hat. So sank der Gesamtumsatz in den letzten drei Monaten auf 15,3 Milliarden US-Dollar. Das sind 22 Prozent weniger als letztes Jahr (https://www.heise.de/news/Intel-am-Tiefpunkt-Deutlicher-Rueckgang-beim-Umsatz-und-Millionenverluste-7193327.html)
smalM
2022-07-30, 01:29:09
Und ganz nebenbei hat TSMC Intel nun auch nach Umsatz überholt; und es sieht nicht so aus, als würde sich da in nächster Zeit was dran ändern.
Skysnake
2022-07-30, 06:33:30
Und TSMC verkauft ja nur die Produktion von Chips und nicht die Chips an sich in dem ja nochmals Fett Marge steckt.
Btw die IFS Sparte hat letztes Jahr 52Mio Gewinn gemacht und dieses Quartal 122Mio Verlust bie 5% mehr Umsatz. WTF! Also das sieht schon ziemlich vernichtend aus.
https://www.nextplatform.com/2022/07/29/intel-let-the-chips-fall-where-they-might/
basix
2022-07-30, 11:24:27
Die schlechten Quartalszahlen kommen vor allem durch eines Zustande: Hohe Investitionen. Der Abschwung des Marktes hat es jetzt nun nur in den (leichten) Negativbereich geschoben.
Linmoum
2022-07-30, 11:34:40
Der Umsatzeinbruch kommt nicht durch zu hohe Investitionen. Sondern einerseits durch die weiter massive Verspätung von SPR, die Konkurrenz im Servermarkt - was Intel und Pat selbst zugegeben haben - und weil das Volume vor allem bei Notebooks abgestürzt ist mit fast -40% (und Desktop auch immerhin fast - 20%). Intel hatte Glück, dass Covid ihnen bisher den Allerwertesten gerettet hat. Wobei das das Problem auch nur auf jetzt verschoben hat.
Windi
2022-07-30, 13:25:21
Der Erfolg von TSMC scheint mir das größte Problem für Intel zu sein.
Nur dank TSMC können:
Nvidia und AMD so gute Spiele-Grafikkarten bauen.
Nvidia und AMD so erfolgreich Grafikkarten im Serverbereich absetzen.
Apple sehr gute mobile APUs selbst entwickeln.
Amazon und Google ihre eigenen Prozessoren für die Cloud entwickeln.
AMD so gute CPUs und APUs bauen.
Viele weitere ihre eigenen Projekte (mit ARM-Kernen) verfolgen.
Mit Global Foundries und Samsung alleine, wäre das viel schwieriger gewesen.
Nicht unmöglich, aber Intel hätte es deutlich einfacher gehabt mitzuhalten.
Der Erfolg von TSMC scheint mir das größte Problem für Intel zu sein.
Nur dank TSMC können:
Nvidia und AMD so gute Spiele-Grafikkarten bauen.
Nvidia und AMD so erfolgreich Grafikkarten im Serverbereich absetzen.
Apple sehr gute mobile APUs selbst entwickeln.
Amazon und Google ihre eigenen Prozessoren für die Cloud entwickeln.
AMD so gute CPUs und APUs bauen.
Viele weitere ihre eigenen Projekte (mit ARM-Kernen) verfolgen.
Mit Global Foundries und Samsung alleine, wäre das viel schwieriger gewesen.
Nicht unmöglich, aber Intel hätte es deutlich einfacher gehabt mitzuhalten.
Das sehe ich auch so. Wenn Intel nicht den Fertigungsnachteil aufholen kann wird es richtig bitter werden für sie. Noch hatte TSMC nicht die Kapazitäten - wenn die aber erstmal da sind kann auch AMD immer mehr vom Markt abknüpfen.
Complicated
2022-07-31, 10:43:44
https://www.nextplatform.com/2022/07/29/intel-let-the-chips-fall-where-they-might/
“Over the next couple of years as we rebuild our server product portfolio, we expect to grow slower than the overall data center market,” Gelsinger conceded in the conference call with Wall Street analysts going over the financial results “It’s not a fact we like but the forecast we see."
Ich denke AMD ist da schon angekommen mit TSMC. Das wird sich weiter verstärken in der Dynamik, bis Intel mit den Fabs wieder zu TSMC aufholt.
Zossel
2022-07-31, 10:44:01
Der Erfolg von TSMC scheint mir das größte Problem für Intel zu sein.
Oder andersherum: Intel ist einfach zu schlecht.
ChaosTM
2022-07-31, 11:05:58
Hybris - man hat sich als Marktführer und fast Monopolist auf den eigene Lorbeeren ausgeruht.
Und durch die eigen Überheblichkeit glaubte man auch Entwicklungsschritte überbringen zu können, was dann zu den 6 jährigen Entwicklungszeit für 10nm führte. Kein Mitleid..
Passiert immer wieder bei Marktführern und/oder quasi Monopolisten
smalM
2022-08-01, 13:52:07
Die schlechten Quartalszahlen kommen vor allem durch eines Zustande: Hohe Investitionen.
Mit Bilanzen (https://www.intc.com/filings-reports/all-sec-filings##document-5231-0000050863-22-000030-2) hast Du es nicht so, oder?
Intel hat Miese gemacht, weil sie operativ mehr Geld ausgegeben als sie eingenommen haben. Das liegt vor allem daran, daß der Umsatz von 19,6 auf 15,3 Mrd.$ gefallen ist und gleichzeitig die Produktionskosten von 8,4 auf 9,7 Mrd.$ gestiegen sind. Macht 5,6 Mrd.$ weniger Rohertrag.
Zossel
2022-08-02, 07:11:39
Das klingt nicht gut: Intels Katastrophen-Quartal: Die Luft wird dünn (https://www.heise.de/meinung/Katastrophen-Quartal-Die-Luft-wird-duenn-bei-Intel-7195385.html)
Selbst Pat Gelsinger – Ingenieur und eingefleischter Geek, wie er sich selbst nennt, und erst Anfang 2021 an die Spitze von Intel zurückgekehrt – schafft es offenbar nicht, die Investoren bei Intel vom ersten Platz zu verdrängen. Denn während die Investitionen sinken, steigen die ausgeschütteten Dividenden kontinuierlich weiter an, selbst im vergangenen roten Quartal – auf 1,5 Milliarden US-Dollar binnen dreier Monate.
Wollen die Geldsäcke Intel ruinieren, weil die nicht raffen das es schnelles Geld woanders gibt? Xi findet das sicherlich gut.
Neurosphere
2022-08-02, 08:39:35
Mit Bilanzen (https://www.intc.com/filings-reports/all-sec-filings##document-5231-0000050863-22-000030-2) hast Du es nicht so, oder?
Intel hat Miese gemacht, weil sie operativ mehr Geld ausgegeben als sie eingenommen haben. Das liegt vor allem daran, daß der Umsatz von 19,6 auf 15,3 Mrd.$ gefallen ist und gleichzeitig die Produktionskosten von 8,4 auf 9,7 Mrd.$ gestiegen sind. Macht 5,6 Mrd.$ weniger Rohertrag.
Was aber auch nur passiert wenn es durch Konkurrenz einen Grund dazu gibt oder / und es die letzten Jahre Defizite bei den Investitionen gab. Das kann Fabs und Produkte betreffen. Das mit den Lorbeeren von oben passt da schon ganz gut.
Zossel
2022-08-03, 08:05:17
Die Quartalszahlen von AMD sind da:
https://www.planet3dnow.de/cms/65832-amd-quartalszahlen-q2-2022-mit-655-milliarden-umsatz/
amdfanuwe
2022-08-03, 11:58:32
Warum nicht gleich auf Original Quellen verweisen?
https://ir.amd.com/financial-information
Zum Earnings Webcast gibt es auch ein Transkript, für den, der lieber liest:
https://seekingalpha.com/article/4528903-advanced-micro-devices-inc-2022-q2-results-earnings-call-presentation
Tobalt
2022-08-03, 12:14:50
Lässt Intels Zahlen nochmal in einem anderen Licht "strahlen"...
Bin trotzdem davon überzeugt, dass sich Intel wieder aufrappelt. Irgendwann werden sie auf den Tisch hauen müssen und wieder gute ehrliche Arbeit leisten. Sie können es ja im Prinzip :)
smalM
2022-08-03, 16:22:04
Was aber auch nur passiert wenn es durch Konkurrenz einen Grund dazu gibt oder / und es die letzten Jahre Defizite bei den Investitionen gab. Das kann Fabs und Produkte betreffen. Das mit den Lorbeeren von oben passt da schon ganz gut.
Intels CapEx die letzten 6 Jahre in Mrd.$:
9,6 - 11,8 - 15,2 - 16,2 - 14,3 - 18,7
Von irgendwelchen Defiziten bei den Investitionen keine Spur.
Ich vermute hingegen, daß die letzten 2 Jahre bei Intel nur durch den Aufbau von Lagerbeständen bei den Kunden so gut ausfielen und nun diese Nachfrageblase geplatzt ist.
Complicated
2022-08-03, 17:08:41
Das schieben der Lagerbestände ist schon vielen aufgefallen in den Zahlen.
Hinzu kommt: investieren alleine reicht ja nicht. Da muß ja auch etwas dabei raus kommen.
Intel hat mit den Verschiebungen der Fabs viel Geld (Investitionen) verbrannt.
Man denke nur an die Portierungsphase zurück auf 14nm für verschiedene Produkte als 10nm immer wieder verschoben wurde.
Zusätzlich das Einkaufen von Wafern TSMC um zumindest einige Produkte in Silizium gießen zu können um darauf aufbauend den nächsten Schritt vorwärts zu machen in der Produktentwicklung.
Oder Die Story mit Apples 5G-Modems, wo schlußendlich die ganze Modem-Sparte an Apple verhökert wurde, als nicht einmal ein lauffähiger Protoyp geliefert werden konnte.
Zossel
2022-08-04, 07:42:40
https://www.heise.de/news/Taiwan-Besuch-Pelosi-spricht-mit-TSMC-Chef-ueber-US-Projekt-7201505.html
In einem Gespräch mit TSMC-Vorstandschef Mark Liu ging es taiwanischen Medienberichten zufolge um den jüngst verabschiedeten Chips Act sowie die Unterstützung bei der Ausbildung von Ingenieurinnen und Ingenieuren in den USA.
https://www.sueddeutsche.de/politik/china-taiwan-pelosi-1.5633419
Derweil regt Taiwan einen Besuch der Bundestagspräsidentin an.
1+1=2 ???
Tobalt
2022-08-04, 08:21:28
Taiwans größte Ressource sind nicht die Fabs, sondern die Köpfe (einige wenige davon) darin IMO.
Im Ausland ausbilden heißt ja auch dass man dieses Know How zumindest tw. weiter delegiert. Ist langfristig wohl sehr wertvoll
Also die massenhafte Chipfertigung ist ebenfalls ne sehr gewichtige Ressource :freak: ...
Tobalt
2022-08-04, 11:25:23
Sicherlich. Aber wenn es darum geht, die nächste u. übernächste Fab zu bauen mit evtl. auch noch feineren Prozessen, dann nützt dir eine bestehende Fab relativ wenig, das kluge Personal einer Fab hingegen aber viel.
Complicated
2022-08-04, 12:54:05
Taiwan wäre schlecht beraten keine kritische Fertigung in Taiwan mehr zu haben. Wie die westliche Unterstützung noch aussehen würden, wenn man die Insel nicht mehr braucht, kann sich jeder selber ausmalen.
Lehdro
2022-08-04, 14:17:35
Taiwan wäre schlecht beraten keine kritische Fertigung in Taiwan mehr zu haben. Wie die westliche Unterstützung noch aussehen würden, wenn man die Insel nicht mehr braucht, kann sich jeder selber ausmalen.
Die Strategie heißt nicht umsonst "silicon shield (https://semiwiki.com/china/314669-the-evolution-of-taiwans-silicon-shield/)". TSMC muss hier zwischen den beiden meist gegenüberliegenden Fixpunkten "Taiwan" und "gewinnorientierte Firma" balancieren. Ohne Taiwanfixierung gibt es deutlich weniger Fördermittel (zumindest von Taiwan) und eine unsichere Fertigungsbasis (Eigenkapital+Knowhow steht/lebt halt zu >90% in Taiwan) und ohne flexiblere Fertigung geographisch gesehen zwingt man die Partner zum Risiko, was theoretisch das Geschäft schädigt/schädigen kann.
Zossel
2022-08-06, 15:35:39
Ohne weiteren Kommentar:
https://twitter.com/f_schaeffler/status/1555473574588289024?cxt=HHwWgMC87bG-k5YrAAAA
Zossel
2022-08-11, 19:54:24
U-Boote gegen Chipfabrik, Deal?
https://www.n-tv.de/politik/Deutsche-U-Boote-stehen-seit-Jahren-auf-dem-Wunschzettel-article23519027.html
Das sind diese Dinger mit Wasserstoff-Antrieb, die quasi nicht zu orten sind.
Zossel
2022-08-24, 17:59:08
Chiplets für Router und Switche: https://www.servethehome.com/juniper-express-5-28-8tbps-network-routing-asic-at-hc34/
In the end, Juniper gets seven different types of ASICs with only two chiplets and an eighth with the CPO option.
Bisher habe ich da nur Monolithen gesehen.
smalM
2022-08-31, 10:44:57
"Laut AMD ist der CCD mit Zen-4-Kernen um 18 % kleiner als der Vorgänger und käme damit auf 66,2 mm².
Bei 6,57 Milliarden Transistoren ergibt sich daraus eine Transistordichte von 99,2 MTr/mm²."
Sieht aus, als käme AMD mit N5 deutlich besser zurecht als mit N7.
7,5T-Libraries statt 9T-Libraries?
basix
2022-08-31, 11:10:20
Bitte nächstes Mal mit Quellenangabe ;)
https://www.hardwareluxx.de/index.php/news/hardware/prozessoren/59347-bis-zu-5-7-ghz-amd-stellt-die-ryzen-7000-serie-im-detail-vor.html
Die 66.2mm2 sind falsch, AMD gibt 71mm2 an: https://www.amd.com/en/product/12151
Und die 6.57 Milliarden Transistoren pro CCD sind noch nicht verifiziert. Das ist eine Info von Angstronomics: https://www.angstronomics.com/p/ryzen-7000-desktop-preview
smalM
2022-09-01, 16:21:05
@basix
Danke!
Tobalt
2022-09-22, 13:16:04
Kritik dass der Chips Act zu stark kleine Strukturgrößen fördere:
https://m.faz.net/aktuell/wirtschaft/unternehmen/industrie-warnt-vor-dauerhaftem-chipmangel-in-europa-18333396.amp.html
IMO ist dies aber richtig, da bei cutting edge hoher Profit eben erst nach gewaltigen Investitionen erwartbar ist.
Eine 65nm Straße heute neuzubauen ist sicher ein deutlich uberschaubareres Unterfangen, weil viele Ingenieure mit bereits passendem Prozess Know-how verfügbar sind.
Zossel
2022-09-22, 13:29:36
Kritik dass der Chips Act zu stark kleine Strukturgrößen fördere:
https://m.faz.net/aktuell/wirtschaft/unternehmen/industrie-warnt-vor-dauerhaftem-chipmangel-in-europa-18333396.amp.html
Diese Kritik ist nicht neu und meines Erachten auch berechtigt.
Allerdings dürfe man nicht nur die Fertigung im Auge haben, sondern müsse das gesamte Ökosystem fördern, zu dem auch Forschung, Infrastruktur, Energieversorgung und Einbindung in die Lieferketten gehörten
Energieversorgung, das ich nicht lache, momentan siedeln sich Fabs in Gegenden an die für ihre schlechte Stromversorgung bekannt und berüchtigt sind, in Texas in Taiwan.
basix
2022-09-22, 16:39:16
Diese Kritik ist nicht neu und meines Erachten auch berechtigt.
Jepp. Alle möglichen Chips (Microcontroller, MOSFETs, Power ICs, Senoren aller Art, ...) werden in 28...90nm gefertigt. Das sind die Dinge, die die Welt antreiben.
Tobalt
2022-09-22, 16:50:00
Bestreite ich ja nicht. Aber da der Bedarf in diesen Nodes ungebrochen hoch ist und bleibt, ist eine Investition in eine neue 65 nm Fab eben kein großes Risiko. Das würden die Firmen auch ohne Förderung machen, falls sie auf Gewinnvergrößerung aus sind.
Eine 3 nm GAA Linie zu bauen hat halt das enorm Risiko, dass man sie erstmal 5 Jahre lang nicht gescheit zum laufen kriegt..
Zossel
2022-09-22, 17:32:08
Jepp. Alle möglichen Chips (Microcontroller, MOSFETs, Power ICs, Senoren aller Art, ...) werden in 28...90nm gefertigt. Das sind die Dinge, die die Welt antreiben.
Und Fabs für große Nodes erzeugen auch Infrastruktur (Chemiebutzen, Fachkräfte, Maskenhersteller, Reinraumtechnik, Siliziumkristallzüchter, EDA-Tools, usw. ) in der Nähe wo man dann für kleinere Nodes drauf aufsetzen kann.
Wörns
2022-09-23, 11:39:57
Auf Golem wird von einer Klassenfahrt zu GF berichtet. Aber erschütternderweise weiß der Autor nicht, warum ein Halbleiter Halbleiter heißt und meint, das hätte was mit der Dotierung zu tun.
MfG
Complicated
2022-09-23, 12:38:55
Typisches Falschverständnis mit dem Begriff "Halbleiter-Fertigung":
Aber zurück zum Produkt der Fab, den Halbleitern:
Die Fab produziert/fertigt keine Halbleiter, sondern nutzt Halbleiter um Produkte damit zu fertigen.
Analog dazu andere Handwerke wie Glas-Manufaktur oder Holzproduktion. Dort ist es den meisten klar, dass das verarbeitete Material der Namensgeber ist und nicht das Endprodukt.
decimad2
2022-09-28, 22:03:52
Wozu wird eigentlich die Fertigungstechnologie noch weiter vorangetrieben, wenn doch die Preise pro Transistor steigen? Mir erscheint die Schwelle des sinnvoll für den Endkonsumenten finanzierbaren längst erreicht, man sehe auch dass Performance-Steigerungen bei GPUs nur noch über Preissteigerungen stattfindenn. Da könnte man doch auch bei der Fertigung stehenbleiben und größere Dies herstellen, eben mit Unterteilung in Chiplets, um die Yields im Rahmen zu halten. Aber irgendwie erscheint doch das Ende der Fahnenstange von "low hanging fruits" erreicht, was sich in den steigenenden Endkundenpreisen widerspiegelt.
Das witzige an der ganzen Situation ist, dass die Nachfrage nach alten Fertigungsprozessen steigt, und damit deren Preise, während an vorderster Front irgenwelche total irrationalen Produkte in die Kunden gedrückt werden und shitstorms von Fanboys entestehen, die einfach nicht verstehen, dass die Sache zumindest bis zum nächsten technologischen Durchbruch erstmal ausgereizt ist.
Gleichzeitig führt bezogen aufs Gaming zunehmend teurere Aufrüstung in Rohleistung zu dem Problem dass auch die Games selber teuerer werden in der Produktion. D.h. der Kunde schießt sich selber ins Knie indem er immer mehr Geld für die Hardware ausgibt um immer teurere Spiele zu kaufen, ohne dass die irgendwie unterhaltsamer würden. Das ist doch pervers im Moment.
Wie ist denn eure Sicht auf die aktuelle Lage?
Gibts hier eventuell schon einen Thread, der sich mit der Sinnhaftigkeit beschäftigt, den ich übersehen habe?
amdfanuwe
2022-09-28, 22:44:03
Das ist doch pervers im Moment.
Wars schon immer und wird immer so sein.
Wenn jemand meint ein Geschäft machen zu können, wird es gemacht.
Jede Generation meint etwas neues haben zu müssen, sonst wären wir immer noch Jäger und Sammler und säßen in Höhlen.
Liegt wohl in der Natur des Menschen.
Troyan
2022-09-28, 22:59:42
Raytracing und Upscaling führt jedoch zu einer massiven Entlastung auf Entwickler-Seite. Auch lässt sich das mit schlechteren Prozessen lösen, weil die Fixed-Function Einheiten wesentlich effizienter sind. So erstellt nVidia 50% mehr Frames durch Fixed-Function Einheiten, die nicht zur tradionellen Grafik-Pipeline gehören (Optical Flow Accelarator und TensorCores). Kann also sein, dass in ein paar Jahren Grafik nicht mehr durch Berechnungen definiert sondern beschrieben wird und dann durch Standardisierung durch ML erzeugt wird.
decimad2
2022-09-28, 23:31:06
Wars schon immer und wird immer so sein.
Wenn jemand meint ein Geschäft machen zu können, wird es gemacht.
Jede Generation meint etwas neues haben zu müssen, sonst wären wir immer noch Jäger und Sammler und säßen in Höhlen.
Liegt wohl in der Natur des Menschen.
Hi!
Also ich habe das noch nicht immer so gesehen. Bis mindestens 2016 hatte ich den Eindruck, dass die neuen Fertigungsstufen mehr Leistung und weniger Verbrauch zu gleichen Kosten ermöglicht haben. Ungefähr 2018 war ich dann schon negativer eingestellt und hatte ich eine längere Diskussion mit einem Kollegen, der noch total auf dieser Schiene gedacht hat - während ich, pessimistisch wie ich bin, schon eher die aktuelle Entwicklung befürchtet habe. Ich erwähne das mit der Diskussion nur, weil mir der Zeitpunkt deshalb in Erinnerung geblieben ist.
Man könnte jetzt natürlich sagen, die Mehrinvestitionen könnten sich lohnen um zumindest noch die Effizienz zu steigern. Bei den Größenordnungen würde ich aber die Frage aufwerfen wollen, ob es nicht günstiger wäre, die Erneuerbaren entsprechend auszubauen, als für Grafikkarten das doppelte auszugeben...
decimad2
2022-09-28, 23:33:55
Raytracing und Upscaling führt jedoch zu einer massiven Entlastung auf Entwickler-Seite. Auch lässt sich das mit schlechteren Prozessen lösen, weil die Fixed-Function Einheiten wesentlich effizienter sind. So erstellt nVidia 50% mehr Frames durch Fixed-Function Einheiten, die nicht zur tradionellen Grafik-Pipeline gehören (Optical Flow Accelarator und TensorCores). Kann also sein, dass in ein paar Jahren Grafik nicht mehr durch Berechnungen definiert sondern beschrieben wird und dann durch Standardisierung durch ML erzeugt wird.
Hallo!
Genau sowas wäre für mich einzuordnen unter "technologische Durchbrüche", in Deinen Beispielen bräuchte man zum Beispiel keine neuen überzüchteten Fertigungsstufen, um mehr "Bang for the Buck" zu bekommen. Oder aber es kommt mal wieder eine Fertigungsstufe die tatsächlich die Wirtschaftlichkeit verbessert, sodass man wieder mehr Rohleistung für den Preis bekommt.
amdfanuwe
2022-09-29, 01:36:07
Hi!
Bis mindestens 2016 hatte ich den Eindruck, dass die neuen Fertigungsstufen mehr Leistung und weniger Verbrauch zu gleichen Kosten ermöglicht haben.
Ist doch immer noch so.
Was die Kosten in die Höhe treibt sind die Entwicklungskosten, Softwarekosten, Verifikationskosten.... Mehr Transistoren wollen sinnvoll genutzt werden und müssen geprüft werden. Dazu braucht es immer bessere, komplexere Software und mehr Manpower.
Die eigentliche physikalische Umsetzung, also Produktion, ist auch etwas teurer geworden, macht aber nicht den größten Kostenfaktor aus.
So lange mit kleineren Strukturen ein Geschäft zu machen ist, wird es also weitergehen.
https://qph.cf2.quoracdn.net/main-qimg-66db3ede3e17e8ec8bb81dd72ea5017e
https://www.quora.com/How-much-does-it-cost-to-design-and-fabricate-an-integrated-circuit
Bei den Größenordnungen würde ich aber die Frage aufwerfen wollen, ob es nicht günstiger wäre, die Erneuerbaren entsprechend auszubauen, als für Grafikkarten das doppelte auszugeben...
Bleibt jedem selbst überlassen. Leben doch nicht im Kommunismus.
Ist ja fast so, als ob man PKWs auf 90 PS beschränken würde ( wo ich nichts dagegen hätte ).
Aber die Unvernunft lässt sich nicht ausrotten und Dummheit wächst von alleine nach.
Habe auch nicht den Eindruck, dass die Politik an einer intelligenten Bevölkerung Interessiert ist. 2021 und da werden Daten per FAX an die Behörden übermittelt wo überlastete Mitarbeiterinnen diese in den PC eingeben.:freak:
Wozu wird eigentlich die Fertigungstechnologie noch weiter vorangetrieben, wenn doch die Preise pro Transistor steigen? Mir erscheint die Schwelle des sinnvoll für den Endkonsumenten finanzierbaren längst erreicht, man sehe auch dass Performance-Steigerungen bei GPUs nur noch über Preissteigerungen stattfindenn. Da könnte man doch auch bei der Fertigung stehenbleiben und größere Dies herstellen, eben mit Unterteilung in Chiplets, um die Yields im Rahmen zu halten. Aber irgendwie erscheint doch das Ende der Fahnenstange von "low hanging fruits" erreicht, was sich in den steigenenden Endkundenpreisen widerspiegelt.
Das witzige an der ganzen Situation ist, dass die Nachfrage nach alten Fertigungsprozessen steigt, und damit deren Preise, während an vorderster Front irgenwelche total irrationalen Produkte in die Kunden gedrückt werden und shitstorms von Fanboys entestehen, die einfach nicht verstehen, dass die Sache zumindest bis zum nächsten technologischen Durchbruch erstmal ausgereizt ist.
Gleichzeitig führt bezogen aufs Gaming zunehmend teurere Aufrüstung in Rohleistung zu dem Problem dass auch die Games selber teuerer werden in der Produktion. D.h. der Kunde schießt sich selber ins Knie indem er immer mehr Geld für die Hardware ausgibt um immer teurere Spiele zu kaufen, ohne dass die irgendwie unterhaltsamer würden. Das ist doch pervers im Moment.
Wie ist denn eure Sicht auf die aktuelle Lage?
Gibts hier eventuell schon einen Thread, der sich mit der Sinnhaftigkeit beschäftigt, den ich übersehen habe?
Es wird wohl keine harte Schwelle sondern eine zunehmende Verlangsamung des Fortschritts bis zum (nahezu) Stillstand.
Die Indizien sind ja schon allenthalben sichtbar:
- Kosten pro Transistor sinken nicht mehr
- Die Nodes werden in (immer mehr) Subnodes aufgeteilt, der zeitliche Abstand zwischen den Fullnodes wird immer länger
- Preise für CPUs und Graphikkarten ziehen an
- Bei Graphikkarten wird nicht mehr das komplette Portfolio auf neue Chiptechnik umgestellt, sondern je billiger und schwächer eine Karte ist desto weiter hängt die Chiptechnologie zurück. Effektiv wird so der Abschreibungszeitraum der Einmal-Kosten verlängert.
- Die Anzahl der Firmen die in die Entwicklung technologisch führender Nodes investieren dünnt sich zunehmend aus (TSMC, Intel, ?Samsung)
Warum geht es aktuell noch voran?
Die Transistoren werden nicht mehr billiger, aber nach wie vor besser im Sinne von sparsamer und kleiner.
Kleinere Transistoren heisst mehr Transistoren pro Wafer, mehr Chips pro Wafer, und damit eine bessere Abschreibung von teuren Fertigungsmaschinen wie z.B. EUV Anlagen.
Sparsamere Transistoren ist für allem für Mobile (Handy) wichtig, so dass hier weiterhin ein wichtiger Technologie-Treiber existiert. Es kommt wohl nicht von ungefähr, dass der Handyhersteller mit den hohen Endkunden-Preisen auch der Entwicklungspartner / Treiber / Erstabnehmer des jeweils neuesten Nodes ist.
Ein Blick in die Zukunft:
Die Entwicklung neuer Nodes wird immer teurer werden. Es werden weiter Firmen aus der Spitzentechnologie aussteigen (zu teuer vs Firmenkapital, zu riskant) bis nur noch eine Firma übrig bleibt. Dann gehts nur noch sehr langsam weiter, falls überhaupt.
Auch die Anzahl der Firmen die die neueste Chiptechnologie nutzen wird immer weiter abnehmen. (zu teuer vs Firmenkapital, zu riskant wenn ein Chipprojekt schief geht). Damit werden die finanziellen Treiber von Chiptechnologie-Fortschritt immer weniger.
Der zeitliche Abstand zwischen den Nodes wird weiter zunehmen. Es wird die Zeit erhöht in der die Entwicklungskosten abgeschrieben werden.
Auch der zeitliche Abstand wenn CPU / GPU Hersteller auf eine neue Chiptechnologie umstellen wird sich erhöhen. Dann muss ein Chip nicht mehr 2 sondern 3 oder 4 Jahre durchhalten.
Die Preise der Top-Dog CPUs und GPUs werden weiter steigen, solange bis sich keine Kunden mehr finden.
Edit: Auf diese Art wird die zeitlich verschobene Übernahme von Verbesserungen in den High-, Mid- und Lowendbereich teilweise querfinanziert. Oder etwas plakativ formuliert: Die Kunden der teuren Spitzenprodukte zahlen für technologischen Fortschritt, welcher dadurch dann auch zunehmend in kostensensitiveren Bereichen eingesetzt werden kann.
Über die Frage nach der Sinnhaftigkeit von technologischem Fortschritt habe ich etwas länger nachgedacht. Interessant ist, dass die Frage so selten gestellt wird.
Ich würde das so einschätzen:
Technologischer Fortschritt (nicht direkt im Sinne von Grundlagen-Forschung, sondern eher im Sinne von Investition und Produktion) benötigt einen oder mehrere Treiber.
Sinnhaftigkeit (im Sinne von der Menscheit bzw der Erde allgemein zugute kommend) ist ein möglicher Treiber, neben finanzieller Gewinnabsicht, Prestige, (technologischer / wirtschaftlicher / militärischer) Dominanz, Egozentrik bzw Selbstdarstellung, Konkurrenzkampf, Mode bzw Trends, und gesellschaftlichen / politischen / ökonomischen / umwelt-bedingten Zwängen.
Für Fortschritt in Chiptechnologie dominiert aktuell die finanzielle Gewinnabsicht. Vielleicht noch mit leichten Beimengungen an Prestige, Politik, wirtschaftlicher und militärischer Dominanz.
Ich kann nur ganz geringe globale Bestrebungen erkennen, Geld (bzw allgemein Kapital) so zu investieren dass dies sinnhaft ist - im Sinne von dass der langfristige Nutzen für die Allgemeinheit (incl Umwelt, Ökosystem, Klima, Artenvielfalt, Kapitalverteilung, Versorgung mit Grundbedürfnissen) möglichst maximiert wird.
Aber spätestens ab hier gehört das Thema wohl in einen Philosophie-Thread, mit Aspekten wie Schwarmintelligenz bzw -Dummheit, und grundsätzlicher Kapitalismuskritik.
Platos
2022-09-29, 17:07:31
Wrtschaftliche Macht (eines Staates) dürfte hier auch ein Grund sein bei Chipproduktion. Und Unabhängigkeit (was auch eine gewisse Machtposition festigt).
ChaosTM
2022-10-04, 13:21:02
Samsung Electronics Unveils Plans for 1.4 nm Process Technology (https://www.techpowerup.com/299538/samsung-electronics-unveils-plans-for-1-4-nm-process-technology)
2027..
Platos
2022-10-04, 13:43:56
Was ausser Smartphone-SoCs hat Samsung eig. noch an Kunden ? Also an der Spitze (also nicht Ampere von nvidia) ?
Complicated
2022-10-04, 14:52:40
Qualcomm, Nvidia, Google, Tesla, Intel, Facebook Sony, IBM, ca. 150 insgesamt.
https://www.digitimes.com/news/a20220919VL212/ic-manufacturing-intel-samsung-tsmc.html
In 2018, IBM and Samsung announced a collaboration on 7nm EUV with IBM letting Samsung make its Power 10 chips.
Other chips such as Tesla's ADAS chips, Sony's GPS chips, NXP's and STM's automotive chips have all been partially outsourced to Samsung, while cryptocurrency chip customers also contribute 3-4% of Samsung's revenue.
vinacis_vivids
2022-10-06, 12:19:21
Kapazitätsausbau: TSMC angeblich vor Fabrikbau in Deutschland
https://www.computerbase.de/2022-10/kapazitaetsausbau-tsmc-angeblich-vor-fabrikbau-in-deutschland/
wolik
2022-10-06, 13:24:21
Es gibt sehr gute Artikel über dieses Thema. Leider auf Russisch.
Ultrahartes Ultraviolett (EUV) in der Halbleiterlithografie: kompliziert, zeitaufwändig, teuer - und notwendig
https://3dnews.ru/1074772/sverhgyostkiy-ultrafiolet-euv-v-poluprovodnikovoy-litografii
mboeller
2022-10-06, 13:29:52
Es gibt sehr gute Artikel über dieses Thema. Leider auf Russisch.
Ultrahartes Ultraviolett (EUV) in der Halbleiterlithografie: kompliziert, zeitaufwändig, teuer - und notwendig
https://3dnews.ru/1074772/sverhgyostkiy-ultrafiolet-euv-v-poluprovodnikovoy-litografii
Das ist kein Artikel, das ist ein Buch ;)
Platos
2022-10-06, 13:42:33
Kapazitätsausbau: TSMC angeblich vor Fabrikbau in Deutschland
https://www.computerbase.de/2022-10/kapazitaetsausbau-tsmc-angeblich-vor-fabrikbau-in-deutschland/
Wenn das stimmt, dann sicherlich von EU-Geldern finanziert :D
Weil die EU kriegt es ja nicht hin, was eigenes auf die Beine zu stellen.
Aber ist auch mal ne Idee. So kann man Fachkräfte ausbilden ^^
Zossel
2022-10-06, 13:47:27
Wenn das stimmt, dann sicherlich von EU-Geldern finanziert :D
Also genauso wie in anderen Ländern.
Tobalt
2022-10-06, 13:55:15
Natürlich ist das ein Subventionswettrennen. Einen Standort einer fremden Hightech Firma zu haben, ist trotzdem viel Wert. Langfristig sind schließlich die Fachkräfte die eigentliche Ressource.
Zossel
2022-10-06, 14:05:54
Natürlich ist das ein Subventionswettrennen. Einen Standort einer fremden Hightech Firma zu haben, ist trotzdem viel Wert. Langfristig sind schließlich die Fachkräfte die eigentliche Ressource.
Der ein oder andere Zulieferer wird vielleicht auch die räumliche Nähe suchen.
Badesalz
2022-10-06, 17:48:52
Raytracing und Upscaling führt jedoch zu einer massiven Entlastung auf Entwickler-Seite.Das ist nur eine Verschiebung auf die andere Seite. Um RT real vernünftig zu fahren braucht man eben GPUs ab Ada. Wieviel Transistoren sind da nochmal? Wieviel Watt zieht das aus der Steckdose, bei N4?
Der slowdown ist schon länger zu sehen. N6 ist ja enhanced N7. N4 ist enhanced N5. Laut TSMC selbst. 2021 ließen sie den neuen N4 wenigstens N4P nennen. Wobei das trotzdem zum leichten Durcheinander führt ;)
Dann überschlägt sich zwar die PCI-SIG fortlaufend, aber Jedec (DDR memory group) scheint es massiv schwerer zu haben ;) Es gibt zwar schon länger Einheizer in der Journalie, aber daß man nach dem realen Launch von DDR5, immernoch davon spricht mit DDR6 in der frühen Entwicklungsphase zu sein, heißt für mich: Es wird mind. doppelt so lange dauern wie zwischen DDR4 und DDR5.
Da kann Samsung ruhig über DDR6 und DDR7 alpha samples rumfantasieren...
Erwähne ich an der Stelle, weil bei all den Fantasien bezüglich der Rechenknechte, die Daten erstmal entsprechend schnell rein und wieder raus müssen :tongue:
Zossel
2022-10-07, 07:00:18
Raytracing und Upscaling führt jedoch zu einer massiven Entlastung auf Entwickler-Seite.
Raytracing und Upscaling generieren Ideen für Spiele?
Badesalz
2022-10-07, 11:20:06
@Zossel
Was ist das für ein Quatsch? Das war doch glasklar nicht der Kontext.
Zossel
2022-10-07, 17:59:52
Dann überschlägt sich zwar die PCI-SIG fortlaufend, aber Jedec (DDR memory group) scheint es massiv schwerer zu haben ;) Es gibt zwar schon länger Einheizer in der Journalie, aber daß man nach dem realen Launch von DDR5, immernoch davon spricht mit DDR6 in der frühen Entwicklungsphase zu sein, heißt für mich: Es wird mind. doppelt so lange dauern wie zwischen DDR4 und DDR5.
Da kann Samsung ruhig über DDR6 und DDR7 alpha samples rumfantasieren...
Dürfte an symmetrischer vs. unsymmetrischer Übertragung liegen.
ChaosTM
2022-10-07, 18:16:54
Software getriebene Lösungen sind die einzige Chance um noch größere Durchbrüche bei der Grafikqualität zu erzielen. DLSS und Konsorten sind die Zukunft und deutlich effizienteres RTRT* kommt als nächstes.
Derzeit ist es in der Form von RTX kaum mehr als ein Marketing Vehikel, das Leistung ohne Ende verbrennt.
Bei den Strukturen kommen wird der "Wand" immer näher.
basix
2022-10-07, 20:12:42
...deutlich effizienteres RTRT* kommt als nächstes.
Das gibt es bereits. Vieles davon kommt von Nvidia und ist oftmals mit ML/AI verknüpft. Gibt auch viele Papers zu dem Thema (nicht nur von Nvidia), wo oftmals 5x, 10x oder mehr Boost gewonnen wird. 6 Monate später in einem weitern Paper nochmals. Alles "nur" wegen SW. Matrix & Low Precision HW unterstützt das ganze aber sehr oft.
Wir sind gar nicht so weit weg von RTRT wie viele meinen. Ist mehr die Frage, wann und wie die Spiele-Engines soweit sind. Und was bei den Konsolen geschieht. Metro Exodus EE ist da eigentlich ein gutes Beispiel. UE5 hat mit Lumen "High" ebenfalls ein entsprechendes Setting für PS5/XBSX.
ChaosTM
2022-10-07, 20:16:26
Lumen ist ein erster Schritt. Gibts aber noch nicht wirklich in Spielen
Zossel
2022-10-12, 13:56:11
Chip-Gigant TSMC zieht es nach Sachsen: https://www.n-tv.de/wirtschaft/Globale-Halbleiterkonzerne-in-Deutschland-Chip-Gigant-TSMC-erwaegt-Werk-bei-Dresden-article23644895.html
Chip-Riese TSMC plant Werk in Deutschland: https://www.capital.de/wirtschaft-politik/chip-riese-tsmc-plant-werk-in-deutschland-32807562.html
smalM
2022-10-15, 11:29:10
"should we assume an acceleration of your overseas expansions just to diversify the production site, i.e., if there could be a fab built in Europe?"
"Well, we will continue to increase our overseas portion in manufacturing based on customers' need, in fact, based on the business opportunity and also based on the operations, efficiency and economics. And so whether we are going to be in Europe, we are in preliminary evaluation and do not rule out any possibility." – C.C. Wei
Das hört sich nicht nach einer Fab in Deutschland an, das hört sich danach an, ob überhaupt eine Fab in Europa gebaut wird.
Tobalt
2022-10-15, 12:11:27
Ich glaube auch dass natürlich alle Länder, speziell im Westen um TSMC buhlen und Evaluierungskomitees einladen.
Aber wäre da was über die Kennenlernphase hinaus gegangen gäbe es wohl schon mehr Gerüchte
smalM
2022-10-15, 12:25:30
TSMC zu den Nodes/Fabs:
N28: Der Ausbau der Fab 16 in Nanjing wird fortgeführt.
Bei N7 bricht die Auslastung ein für dieses und die kommenden 2 Quartale. Der Baubeginn der Fab 22 Phase 2 für N7 wird deshalb nach hinten verschoben. TSMC erwartet eine Erholung im 2. HJ 23.
Bei N5 kann die Nachfrage nicht befriedigt werden. Fab 18 P7 und Fab 21 P1 werden deshalb mit voller Geschwindigkeit weitergebaut.
HVM für N3 läuft noch dieses Quartal an "with good yield". N3E ist 1 Jahr später geplant, könnte aber, da alles gut läuft, um 2 bis 3 Monate nach vorne gezogen werden. Die Kundennachfrage für N3 soll die Lieferfähigkeit übersteigen, der Ramp-up von N3 wird deshalb von der Lieferfähigkeit der Tool-Hersteller abhängen.
Die N2-Entwicklung läuft gut und ist dem Plan sogar ein bißchen voraus. HMV ist [wie bisher] für 2025 vorgesehen.
Der Bau von Fab 23 (JASM) wird unverändert fortgeführt.
Zossel
2022-10-26, 22:41:33
600 Watt für eine GPU, absolut lächerlich:
https://www.servethehome.com/wp-content/uploads/2019/03/Edgecore-Facebook-Minipack-Power-Consumption.jpg
https://www.servethehome.com/broadcom-tomahawk-5-at-ocp-summit-2022/
Und da werden bestimmt keine Pipi-Stecker benutzt.
Skysnake
2022-10-27, 05:39:26
Hä????
Dir ist klar, das es sich dabei um einen Switch handelt???
Zossel
2022-10-27, 06:49:01
Hä????
Dir ist klar, das es sich dabei um einen Switch handelt???
Der besteht, wie eine GPU, aus geätzten Silizium.
Oder geht es hier nicht um geätztes Silizium'?
Tobalt
2022-10-27, 07:16:44
Alle Produkte aus Silizium sollen die gleichre Wattzahl haben, oder was willst du uns sagen ? Gilt die dann auch für alles vom Mikrokontroller bis zum Thyristor im Umspannwerk ?
Zossel
2022-10-27, 07:33:10
Alle Produkte aus Silizium sollen die gleichre Wattzahl haben, oder was willst du uns sagen ?
Nein.
Gibt es eine Einschränkung über welche Produkttypen hier gesprochen werden soll?
Skysnake
2022-10-27, 07:40:03
Das sollte a lernen kompletter Switch sein und nicht nur der Switch ASIC.
Da gehen ja schon 100W+ für die Transciever drauf...
Zudem ist das relativ sparsam. Man muss sich ja mal überlegen, dass da zick TB/s bewegt werden.
Vor kurzem hat das noch 5 oder 10 mal mehr Energie benötigt
smalM
2022-10-28, 13:07:30
TSMC Forms 3DFabric Alliance to Accelerate Development of 2.5D & 3D Chiplet Products (https://www.anandtech.com/show/17626/tsmc-forms-3dfabric-alliance-to-accelerate-development-of-25d-3d-chiplet-products)
Intel Update zu 20A und 18A:
On Intel 20A and 18A, the first nodes to benefit from RibbonFET and PowerVia our first internal test chips, and those of a major potential foundry customer have taped out with silicon running in the fab. We continue to be on track to regain transistor performance and power performance leadership by 2025.
We expect 20A will primarily be an internal node, not one that we have a lot of external foundry customers for the external foundry chipset or tape-outs are largely associated with 18A.
And a very typical process for a foundry customer will be "give me a test chip of my circuits on your process." and that's exactly what we take out. The first one this quarter. We'll have several more in the pipeline. So now we're taking out not only our test chips for 18A, but our foundry customer test chips for 18A, and that's a pretty critical milestone when they see the results of the silicon for them making a volume decision for a foundry customer.
Und Intel 4/3:
On Intel 4, we are progressing towards a high-volume manufacturing and will tape out the production stepping at Meteor Lake in Q4. The first stepping of Granite Rapids is out of the fab, yielding well with Intel 3 continuing to progress on schedule. Intel 4 and 3 are our first nodes deploying EUV and will represent a major step forward in terms of transistor performance per watt and density.
https://wccftech.com/intel-updates-next-gen-chips-meteor-lake-production-stepping-q4-granite-rapids-sierra-forest-2023-20a-18a-taped-out/
Tobalt
2022-10-28, 14:44:42
Ich vermute mal, dass der Switch von DUV auf EUV immer die yields verbessert. Das Bottleneck bei EUV ist halt eher die Kosten pro Wafer.
smalM
2022-10-28, 19:58:15
@ryan
Umsatz Intel Foundry Q3/22 = 0,17 Mrd.$ bei 0,1 Mrd.$ operativem Verlust.
Die Zahlen für Tower sind noch nicht raus (gibt's am 14.11), aber es hätte wohl selbst mit Tower nicht für ein positives operatives Ergebnis gereicht...
Platos
2022-10-28, 20:15:12
Was ist eig. der strategische Grund für das Fertigen als Auftragsfertiger?
Durch externe Abnehmer die Fertigungsforschung finanzieren, was vermutlich langsam zu teuer wird, wenn man nur für sich selbst fertigt (= keine direkten Margen erheben kann)?
basix
2022-10-28, 21:07:56
So ungefähr, ja.
Zossel
2022-10-29, 10:44:25
Was ist eig. der strategische Grund für das Fertigen als Auftragsfertiger?
Durch externe Abnehmer die Fertigungsforschung finanzieren, was vermutlich langsam zu teuer wird, wenn man nur für sich selbst fertigt (= keine direkten Margen erheben kann)?
Wie viel Waferfläche wird via Handys verkauft und wie viel via PCs?
Intel hätte als Foundry zusätzlich das Problem das man als Foundry-Kunde Angst haben muss bei der Waferzuteilung den kürzeren ziehen können.
Daher sollte Intel die Fabs ausgründen.
Savay
2022-10-29, 12:58:57
Gilt die dann auch für alles vom Mikrokontroller bis zum Thyristor im Umspannwerk ?
Unsere IGBTs haben insgesamt 900MW... :whisper:
Unsere IGBTs haben insgesamt 900MW... :whisper:
Schaltleistung (max zulässige Spannung im off x max zulässiger Strom im on) oder Verlustleistung (max zulässige Wärmeabgabe)?
Hihihi, ich glaube ich weiss die Antwort: Wenn du mit "insgesamt" die Summe aller bisher hergestellter IGBTs meinst, dann könnte ich mir 900MW Verlustleistung sogar vorstellen.
</Trollpost>
Savay
2022-10-29, 14:34:38
Verlustleistung wäre in dem Fall natürlich echt schlecht, da eine einzelne Anlage gemeint war. :wink:
dildo4u
2022-11-09, 13:00:42
Nachfragerückgang: TSMCs 7-nm-Prozess kaum noch zu 50 % ausgelastet
https://www.computerbase.de/2022-11/nachfragerueckgang-tsmcs-7-nm-prozess-kaum-noch-zu-50-prozent-ausgelastet/
MSABK
2022-11-09, 13:29:42
Nachfragerückgang: TSMCs 7-nm-Prozess kaum noch zu 50 % ausgelastet
https://www.computerbase.de/2022-11/nachfragerueckgang-tsmcs-7-nm-prozess-kaum-noch-zu-50-prozent-ausgelastet/
Das sieht man bei der Xbox am besten, die Series X ist jetzt normal erhältlich. Die PS5 hingegen nicht, ssd hin oder her, ich denke hier verknappt Sony künstlich.
basix
2022-11-09, 13:42:50
Die PS5 hingegen nicht, ssd hin oder her, ich denke hier verknappt Sony künstlich.
Sony hat viel mehr PS5 hergestellt als verkauft. Da kommt noch was.
amdfanuwe
2022-11-09, 14:27:58
Haben die nicht einen neuen Chip in N6?
basix
2022-11-09, 16:20:27
Haben die nicht einen neuen Chip in N6?
Das auch. N6 ist aber nur eine N7 Variante, das wird unter dem selben Nenner laufen.
dildo4u
2022-11-09, 16:30:29
Ich hoffe das bedeutet billige AMD GPU niemand anderes nutzt 6nm TSMC für High Power Chips oder?
amdfanuwe
2022-11-09, 17:14:48
Das auch. N6 ist aber nur eine N7 Variante, das wird unter dem selben Nenner laufen.
Ja, hab ich bei CB jetzt auch gelesen.
Ich hoffe das bedeutet billige AMD GPU niemand anderes nutzt 6nm TSMC für High Power Chips oder?
Nicht nur GPU N24, N33?, MCD N31/32, auch I/O Chips CPU/EPYC und APUs ( Rembrandt, Mendocino ) sowie Konsolen refresh.
Nightspider
2022-11-09, 17:23:55
Dafür müsste TSMC aber auch erstmal die Preise senken und danach siehts gerade noch nicht aus, wegen gestiegener Energie und Rohstoffkosten.
Zumal AMD die Kapazitäten schon vor langer Zeit für einen bestimmten Preis gebucht haben wird.
Ich könnte mir aber vorstellen das es so laufen könnte, das AMD zu TSMC geht und anbietet, für XX Rabatt YY mehr Wafer zu nehmen.
Complicated
2022-11-09, 17:38:29
Das auch. N6 ist aber nur eine N7 Variante, das wird unter dem selben Nenner laufen.Das ist ja nicht einfach eine Variante - N6 hat wenige Layer EUV (3 IIRC?) im Gegensatz zu N7. Hier werden die EUV-Layer lediglich 1 mal belichtet im Gegensatz zu 4xDUV, was Kosten spart. Dennoch ist es Design-Kompatibel und kann mit den selben Rules portiert werden.
basix
2022-11-09, 18:24:30
Das ist ja nicht einfach eine Variante - N6 hat wenige Layer EUV (3 IIRC?) im Gegensatz zu N7. Hier werden die EUV-Layer lediglich 1 mal belichtet im Gegensatz zu 4xDUV, was Kosten spart. Dennoch ist es Design-Kompatibel und kann mit den selben Rules portiert werden.
Klar ;) Aber N7 & N6 werden bei der Aussage "50% weniger N7 Bestellungen bei TSMC" unter der selben Kategorie laufen, das wollte ich sagen
Complicated
2022-11-09, 18:54:58
Ich glaube das eher nicht da der Transit N7->N6 ja einer der Vorteile ist für die Kunden, da günstig. Das verstärkt den Rückgang bei N7, kompensiert aber bei N6.
Ich denke alle N7 werden über kurz oder lang bei N6 landen, bis EOL. Zumindest die langfristigen Produkte. Neue werden N7 auslasen.
Die 50% wurden nur für N7 explizit genannt und N6 als ebenfalls rückläufig.
iamthebear
2022-11-09, 21:14:37
Mittlerweile ist eben schon ein Großteil der Kunden auf N5 umgestiegen. Ist klar, dass da nun Kapazitäten frei werden. Es ist eher erstaunlich wie gut sich 7nm bisher noch gehalten hat:
2022Q3:
26% 7nm
28% 5nm
Wenn wir einmal 2 Jahre zurück springen kurz vor 5nm Einführung
2020Q2:
18% 16nm
36% 7nm
Selbst ohne wirklich Konkurrenten wird TSMC kräftig die Preise senken müssen. Nicht so sehr weil die Kunden sonst wo anders kaufen sondern weil sich einfach keiner mehr das Endprodukt leisten kann/will.
Zossel
2022-11-09, 21:27:29
Klar ;) Aber N7 & N6 werden bei der Aussage "50% weniger N7 Bestellungen bei TSMC" unter der selben Kategorie laufen, das wollte ich sagen
Könnte damit nur N7 ohne EVU gemeint sein, das die EUV-Belichter nicht ausgelastet sind kann ich mir nicht vorstellen.
basix
2022-11-09, 23:28:27
In allen News und sogar offiziellen Aussagen von TSMC ist immer N7 und N6 oder "N7/N6 Family" zu lesen. Da wird keine Unterscheidung gemacht. Das N6 in der Tendenz etwas besser ausgelastet ist als N7, kann auch trotz dieser Aussage stimmen.
Hier die von CB verlinkte Original-Quellen:
https://www-digitimes-com-tw.translate.goog/tech/dt/n/shwnws.asp?cnlid=1&id=0000649384_NRA406717PJ06U5O6AZZ9&_x_tr_sl=zh-TW&_x_tr_tl=de&_x_tr_hl=de&_x_tr_pto=sc
https://investor.tsmc.com/english/encrypt/files/encrypt_file/reports/2022-10/1ee31c398ae422b9f503b5f64324c11997011447/TSMC%203Q22%20Transcript.pdf
smalM
2022-11-10, 12:59:43
Das ist ja nicht einfach eine Variante - N6 hat wenige Layer EUV (3 IIRC?) im Gegensatz zu N7. Hier werden die EUV-Layer lediglich 1 mal belichtet im Gegensatz zu 4xDUV, was Kosten spart. Dennoch ist es Design-Kompatibel und kann mit den selben Rules portiert werden.
N7 soll 78 Mask Layer haben, bei N6 sollen es "unter 63" sein, davon 5 EUV. Die Design-Rules sind zwar dieselben, eine Portierung von N7 nach N6 benötigt aber ein Redesign.
N5 soll 59 Mask Layer haben, davon "unter 15" EUV.
Zossel
2022-11-10, 13:27:38
N7 soll 78 Mask Layer haben, bei N6 sollen es "unter 63" sein, davon 5 EUV. Die Design-Rules sind zwar dieselben, eine Portierung von N7 nach N6 benötigt aber ein Redesign.
N5 soll 59 Mask Layer haben, davon "unter 15" EUV.
Werden bei den neuen Prozessen die EUV-Layer nicht auch schon mehrfach belichtet?
Complicated
2022-11-10, 17:31:23
Danke @smalM
Es gingen mal Gerüchte, dass 5nm auch schon 2x belichtet bei manchen Layern. Eine echte Bestätigung habe ich noch nirgendwo finden können.
AffenJack
2022-11-10, 17:42:56
Damals ging man aber auch noch von deutlich höheren Transistordichten bei 5nm aus, als es bei TSMC am Ende wirklich geworden sind. Kann durchaus sein, dass deshalb die Gerüchte über 2x Belichtung entstanden sind.
Complicated
2022-11-10, 17:57:22
Hier habe ich etwas gefunden:
https://semiengineering.com/single-vs-multi-patterning-euv/
While the starting point is 38nm/36nm, single-patterning EUV reaches its limit at 32nm to 30nm pitches. Beyond 30nm pitches, double patterning is required, which falls under the 5nm node. Also wäre das ab 3nm bei TSMC - ich denke Nvidias 4N zählt als 5nm-Derivat.
smalM
2022-11-21, 18:35:42
via CB (https://www.computerbase.de/2022-11/zweite-phase-fuer-us-fabrik-tsmc-plant-angeblich-weiteres-werk-in-arizona/): TSMC planning advanced chip production in Arizona (https://www.reuters.com/technology/tsmc-planning-advanced-chip-production-arizona-company-founder-says-2022-11-21/)
dildo4u
2022-11-22, 10:00:06
Angeblich 20000$ pro TSMC 3nm Waffer.
https://wccftech.com/tsmc-3nm-wafer-pricing-revealed-20000-us-25-price-increase-over-5nm-next-gen-cpus-gpus-expensive/
Troyan
2022-11-24, 10:54:28
Und in Zuge dessen kommen wohl Firmen wie nVidia und Qualcomm auch zu Samsung zurück: https://www.computerbase.de/2022-11/3-nm-chips-samsung-gewinnt-nvidia-qualcomm-ibm-und-mehr-zurueck/
Wäre gut für den Markt.
KarlKastor
2022-11-24, 11:06:26
Erstmal abwarten was sie da fertigen lassen. Qualcomm fertigt auch heute noch SoC in 4LPP, nur eben nicht high-end. Nvidia wird vielleicht nur nen Tegra oder NVSwitch und keine fette GPU.
dildo4u
2022-12-28, 16:05:24
TSMC soll angeblich die Preise weiter anziehen um Umsatzverluste auszugleichen.
https://wccftech.com/tsmc-faces-15-revenue-hit-due-to-order-slowdown-report/
reaperrr
2022-12-29, 04:53:09
TSMC soll angeblich die Preise weiter anziehen um Umsatzverluste auszugleichen.
https://wccftech.com/tsmc-faces-15-revenue-hit-due-to-order-slowdown-report/
Man kann echt nur hoffen, dass Samsung und Intel den Anschluss halten/wieder herstellen. Man sieht ja, wozu das sonst führt: Preiserhöhungen, Preiserhöhungen, und noch mehr Preiserhöhungen.
Sunrise
2022-12-29, 10:19:09
Man kann echt nur hoffen, dass Samsung und Intel den Anschluss halten/wieder herstellen. Man sieht ja, wozu das sonst führt: Preiserhöhungen, Preiserhöhungen, und noch mehr Preiserhöhungen.
Das stimmt zwar, aber wenn man da oben mitschwimmt, wird man nicht billig liefern. Konkurrenz ist ja da, viele wollen den Informationen nach zu Samsung, weil hier auch konstant stark erweitert wird.
Man sollte abseits dessen aber auch nicht erwarten, dass Cutting Edge-Prozess Know-how und die extrem gute Planbarkeit, die man bei TSMC hat, nichts Wert sind.
Klar, es wird nicht billiger, weil die Anstrengungen immer komplexer und weitreichender werden. Mal davon ab, ist der SoC nur ein kleiner Teil der Kostenrechnung. Wird alles am Ende sowieso weitergegeben.
Zossel
2022-12-29, 10:32:47
Man kann echt nur hoffen, dass Samsung und Intel den Anschluss halten/wieder herstellen. Man sieht ja, wozu das sonst führt: Preiserhöhungen, Preiserhöhungen, und noch mehr Preiserhöhungen.
Mir ist ein Auftragsfertiger als Technologieführer lieber als jemand der gleichzeitig Chips fertigt und selbst verkauft.
Zossel
2022-12-29, 10:34:04
Wird alles am Ende sowieso weitergegeben.
Dahin wo es weitergegeben wird ist kein unendlicher Topf aus Gold.
Sunrise
2022-12-29, 10:55:07
Dahin wo es weitergegeben wird ist kein unendlicher Topf aus Gold.
Dann musst du dich eben woanders umsehen, wo es billiger ist. Ist eigentlich ganz einfach.
smalM
2022-12-30, 11:37:11
DigiTimes
Muß man mehr sagen?
davidzo
2022-12-30, 12:48:39
TSMC soll angeblich die Preise weiter anziehen um Umsatzverluste auszugleichen.
Oha, das ist mutig, denn das befeuert die Chip Rezession. Vermutlich will man solange noch Geld mitnehmen um ein besseres Polster vor dem großen Crash zu haben. Ich halte das für eine kurzfristige Schwankung die den allgemeinen Trend zum Preisverfall eher beschleunigt als aufhält.
Klar, es wird nicht billiger, weil die Anstrengungen immer komplexer und weitreichender werden. Mal davon ab, ist der SoC nur ein kleiner Teil der Kostenrechnung. Wird alles am Ende sowieso weitergegeben.
Das glaube ich nicht. Die Investitions- oder Herstellungskosten haben in unserem Wirtschaftssystem erstmal nichts mit dem Preis zutun. Die Nachfrage bestimmt den Preis.
Das sah man ja gut an der Chipkrise die durch die Substrathersteller maßgeblich verlängert wurde. Die haben ein sehr komplexes, aber kaum kostendeckendes Produkt und dementsprechend nach der Drosselung durch die Chiphersteller nicht wieder ausreichend hochgefahren oder Investiert. Als die Substratpreise dann teuer waren sind die neuen Investitionen in Produktionskapazität noch nicht online gewesen.
Ich denke das sieht ein Blinder dass wir kurz vor einem großen Preissturz bei Halbtleitern stehen. Im Industriebereich ist das teilweise schon so. STM MCUs die eigentlich zu Listenpreisen gehandelt werden und dieses Jahr noch 11$ gekostet haben, kosten kommendes nur noch 7$.
Wie kann es auch anders sein?
Wir haben gerade das größte Investitionsprogramm aller Zeiten weltweit in die Halbleiterfertigung. Und das genau nach der wohl größten Chipknappheit und Verteuerung aller Zeiten. Was kommt wohl als nächstes, wenn soviele Fabriken ans Netz gehen, wie nie zuvor auf der Welt?
Wer den Schweinezyklus darin nicht sieht, der ist wohl opportunistischer Politiker und denkt nur bis zur nächsten Hälfte der Legislaturperiode.
Ich finde es unglaublich wie gerade Steuergelder an Stinkreiche Unternehmen verschenkt werden wo doch jeder Ökonom klar sehen kann dass wir in den nächsten fünf Jahren dafür weitere zig Milliarden nachschießen werden müssen um diese Investitionen im kommenden Abschwung überhaupt überleben zu lassen. Ein beschisseneres Investment kann ich mir gerade nicht vorstellen. Man muss doch bei Investments antizyklisch denken, also den Schweinezyklus messen und voraus berechnen?!
prinz_valium_2
2022-12-30, 14:04:32
Das Problem ist, dass du die Hersteller nicht gelockt bekommst in dem andren Teil des Zyklus.
Ergo geht es nur jetzt, wenn du die wirklich hier her holen willst.
Teuer wird es dadurch natürlich trotzdem.
Ich freue mich auf den Crash. Dann kann man als Konsument endlich mal wieder GPUs kaufen.
Zossel
2022-12-30, 20:58:13
Ich finde es unglaublich wie gerade Steuergelder an Stinkreiche Unternehmen verschenkt werden wo doch jeder Ökonom klar sehen kann dass wir in den nächsten fünf Jahren dafür weitere zig Milliarden nachschießen werden müssen um diese Investitionen im kommenden Abschwung überhaupt überleben zu lassen. Ein beschisseneres Investment kann ich mir gerade nicht vorstellen. Man muss doch bei Investments antizyklisch denken, also den Schweinezyklus messen und voraus berechnen?!
Alle Chip-Hersteller kassieren überall fette Subventionen, und bei diesen Investments geht es nur sekundär um den schnöden Mammon sondern um einen konstanteren Zugang zu Basisprodukten.
BWL-Kram bildet hier nur einen Teilaspekt. Wer kann sich noch an Qimonda erinnern?
smalM
2023-01-03, 23:15:01
Scotten Jones: https://semiwiki.com/semiconductor-manufacturers/tsmc/322688-iedm-2022-tsmc-3nm/
Zossel
2023-01-04, 18:13:24
Bislang hat die chinesische Regierung den Plan verfolgt, mit Subventionen die heimische Chipindustrie konkurrenzfähig zu machen. Einem Bericht zufolge könnte damit bald Schluss sein, denn die Branche ist bislang weit hinter den Erwartungen geblieben.
https://www.n-tv.de/wirtschaft/China-dreht-eigener-Chipindustrie-den-Geldhahn-ab-article23821385.html
Xi ist ja eine richtige Witzpille:
Laut "Bloomberg" ist Xi frustriert darüber, dass die zig Milliarden Dollar nicht zum Durchbruch geführt haben und China mit den USA immer noch nicht auf Augenhöhe konkurrieren kann.
smalM
2023-01-10, 20:15:57
https://investor.tsmc.com/english/monthly-revenue/2022
Umsatz Q4: 625,5 Mrd. NT$ (19,93 Mrd.US$)
Umsatz '22: 2263,9 Mrd. NT$ (75,88 Mrd.US$)
Zossel
2023-01-17, 21:07:58
Umsätze der Chip-Hersteller stiegen 2022 nur um 1,1 Prozent auf 601,7 Mrd. Dollar
https://www.derstandard.at/story/2000142675370/halbleiter-umsaetze-im-vorjahr-nur-leicht-gestiegen
vinacis_vivids
2023-01-26, 12:40:23
Japan legt los wie eine Rakete:
https://amp2.handelsblatt.com/meinung/kolumnen/asia-techonomics-japan-stampft-mit-milliarden-investitionen-einen-neuen-chipriesen-aus-dem-boden/28942320.html
Platos
2023-01-26, 12:59:21
Hört sich gut an. hoffentlich entsteht so mehr Konkurrenz. 2027 sind aber 4 Jahre (sagen wir 5 bis Ende 2027). Da bin ich aber schon skeptisch.
Zossel
2023-01-28, 11:54:16
Das ist viel:
Ein Drittel weniger Umsatz bringt Intel tiefrote Quartalszahlen (https://www.computerbase.de/2023-01/im-freien-fall-ein-drittel-weniger-umsatz-bringt-intel-tiefrote-quartalszahlen/)https://www.computerbase.de/2023-01/im-freien-fall-ein-drittel-weniger-umsatz-bringt-intel-tiefrote-quartalszahlen/
Zossel
2023-01-30, 21:27:40
Nicht-epitaktisches Wachstum monokristalliner 2D-Materialien durch geometrische Begrenzung (https://www.derstandard.at/story/2000143050519/chipherstellung-2d-kristalle-sollen-moores-law-zurueckbringen)
Platos
2023-01-30, 22:54:10
Nicht-epitaktisches Wachstum monokristalliner 2D-Materialien durch geometrische Begrenzung (https://www.derstandard.at/story/2000143050519/chipherstellung-2d-kristalle-sollen-moores-law-zurueckbringen)
Interessant, aber wie macht man denn die Schablone ? Die muss doch dann genau so feine Details haben ? Denn schlussendlich hängt dann ja die mögliche Strukturgrösse davon ab.
Aber das hört sich nicht gerade so an, als ob das noch in den 30-er jemals in einem Massenprodukt zu finden sein wird.
Interessant wäre natürlich noch, wie schnell das Zeug wächst. Schlussendlich muss es günstiger sein und zwar massiv, so dass man die Halbleiterindustrie umkrempelt.
Zossel
2023-02-01, 09:49:14
Der US-Chiphersteller Wolfspeed will heute zusammen mit dem Automobil-Zulieferer ZF die Pläne für den Bau einer Halbleiter-Fabrik in Ensdorf vorstellen. (https://www.sr.de/sr/home/nachrichten/politik_wirtschaft/verkuendung_wolfspeed_plaene_ensdorf_100.html)
smalM
2023-02-14, 22:56:06
TSMC Board of Directors Meeting Resolutions (via semiwiki.com (https://semiwiki.com/forum/index.php?threads/tsmc-board-of-directors-meeting-resolutions-increase-in-az-spending.17435/))
Approved distribution of employees’ business performance bonus and profit sharing totaling approximately NT$121,404.00 million for 2022. [≈ 3,73 Mrd.€ gut angelegtes Geld :smile:]
Approved capital appropriations of approximately US$6,959.5 million for purposes including:
1) Installation and upgrade of advanced technology capacity;
2) Installation of specialty technology capacity;
3) Fab construction, and installation of fab facility systems.
Approved the capital injection of not more than US$3.5 billion to TSMC Arizona, a wholly-owned subsidiary of TSMC.
smalM
2023-02-26, 19:55:11
Es wird gemeldet, ein Intel-Offizieller habe den Prozeß Intel 4 als "ready for manufacturing" bezeichnet. Das ist ja Intel-Sprech für den Beginn der Risk-Production.
Weiß hier zufällig einer, wie lange Intel sich für gewöhnlich mit der Risk-Production aufhält?
Also von manufacturing ready bis zur Produktvorstellung lag immer mindestens ein Halbjahr dazwischen, oft ein ganzes Jahr. Bei Intel 7 lag 1 Jahr dazwischen und Intel 4 wird offenbar auch etwa bei 1 Jahr Abstand liegen. Oft sieht man ES Einträge im Internet ein halbes Jahr vor dem launch bei Intel CPUs.
y33H@
2023-02-26, 22:50:49
Intel 4 war schon 2022 ready, die Risk Production ist Monate vorher.
Nightspider
2023-04-01, 21:59:19
@smalM
Hast du zufällig einen Überblick wann die Advanced Packaging Fabs von TSMC fertig werden sollen und wann der Start der Massenproduktion zu erwarten ist?
smalM
2023-04-02, 14:43:33
@Nightspider
AP2C in Shanhua ist in Betrieb.
Ich weiß nicht, wie weit der Bau von AP6A in Zhunan schon vorangeschritten ist und wann TSMC die Phase aktuell in Betrieb nehmen will. Ich weiß nur, daß der Bau äußerlich fertig ist und die Phasen B und C bereits in Bau sind.
Nightspider
2023-04-02, 16:30:22
Ich danke dir.
Die Eröffnung von AP2C war wohl in 2H22. Das passt zu MI300 in 2H23.
Apple wird sicherlich auch Kapazitäten dort nutzen um irgendetwas brutales auf den Markt zu bringen.
Zu AP6A konnte ich jetzt leider auch keine Infos im Netz finden.
smalM
2023-04-02, 18:01:59
Jemand aus Taiwan war kürzlich daran vorbeigefahren und hatte ein Video aufgenommen (finde ich leider nicht mehr), wo man sehen konnte, wie weit die Phase A schon ist. Aber das sagt natürlich nichts aus über den Innenausbau.
Wenn wieder ein Bild auftaucht mit Dampfwolken über der Klimaanlage, dann wissen wir wenigstens, daß das Gebäude genutzt wird. TSMC ist da ja nicht sehr gesprächig und Apple schweigt eh wie ein Trappistenorden...
Nett ist übrigens auch das hier (https://www.youtube.com/watch?v=_sAxyctqvTY) von Januar dieses Jahres:
Die Fahrt startet an Fab 14 P1/P2, vorbei an Fab 6 P1, dann P2, dann AP2C.
Bei 1:45 biegt er ab nach rechts, gegenüber ist Fab 14 P7, hinter dem Viadukt liegt rechterhand P6.
Bei 2:50 der Rohbau gehört zu Fab 14 P8, bei 3:40 kommt Fab 18 P6 ins Bild, bei 3:50 Fab 14 P5.
Bei 4:10 Schwenkt das Bild auf Fab 18 P7.
Bei 4:30 fällt der Blick wieder auf den Rohbau von Fab 14 P8, danach folgt rechterseits Fab 18, erst P6 und dann P5, gegenüber der Rohbau von Fab 18 P8.
Dann biegt er links ab und fährt an P8 vorbei. Er biegt wieder links ab und ab 7:19 kommt AP2C noch einmal ins Bild.
Danach fährt er an Fab 14 P1/P2, P3 und P4 entlang, bei 10:40 werfen wir wieder einen Blick auf Fab 18 P7, bei 11:20 ist gegenüber P6.
Nightspider
2023-04-02, 18:25:15
Ich habe vorhin per Google Streetview einige Fabs besucht um mir mal ein Bild zu machen. ^^
smalM
2023-04-10, 18:37:12
TSMC Umsatz Q1 2023:
508,8 Mrd. NT$ (+3,6%)
16,7 Mrd. US$ (-5,1%)
Q1 Financial Statements (https://investor.tsmc.com/english/encrypt/files/encrypt_file/reports/2023-04/acd503b6af80e0439baa9987cf144352b5dddbd2/FS.pdf)
Q1 Presentation Material (https://investor.tsmc.com/english/encrypt/files/encrypt_file/reports/2023-04/8647f0e714162975c5740e709c0990a326c3bbb7/1Q23Presentation%28E%29.pdf)
Gewinn:
206,9 Mrd. NT$ (-4,3%)
6,80 Mrd. US$ (-12,9%)
Sunrise
2023-04-10, 21:54:27
TSMC Umsatz Q1 2023:
508,8 Mrd. NT$ (+3,6%)
16,7 Mrd. US$ (-5,1%)
Und das obwohl man mehrfach die Preise angehoben hatte.
dildo4u
2023-04-12, 16:11:36
ARM Lizenznehmer können zukünftig in Intel A18 fertigen.
https://videocardz.com/press-release/intel-and-arm-reach-soc-manufacturing-deal-low-power-mobile-processors-to-use-intel-18a-process
dildo4u
2023-04-29, 10:40:34
TSMC Roadmap Details 3nm & 2nm Process Technologies
https://wccftech.com/tsmc-roadmap-details-3nm-2nm-process-technologies-n3e-n3p-n3x-n2p-n2x/
basix
2023-04-29, 11:53:58
Joa, damit sollte eigentlich klar sein, dass RDNA4, Blackwell und Zen 5 in N4P/X kommen werden. Zen 5c in N3.
Im Q1 earnings call (https://www.fool.com/earnings/call-transcripts/2023/04/28/intel-intc-q1-2023-earnings-call-transcript/) zeigt sich Intel weiter zuversichtlich bezüglich kommender Prozesse, sie waren sogar erzählfreudiger als sonst. Die relevanten Sachen habe ich rauskopiert. Der Nachfolger von Sierra Forest heißt Clearwater Forest und soll 2025 gefertigt in 18A in den Markt kommen. Erste 18A customer Testchips werden ausgeliefert. Während 20A ein Client node bleiben soll (Arrow Lake wird genannt), wird 18A für alles eingesetzt: Client, Server, Netzwerk, externe customer Produkte.....
Looking first at the progress we are making with our process road map, we remain on track to regain transistor performance and power performance leadership by 2025.
....And Meteor Lake on Intel 4 is ramping production wafer starts today for a second-half product launch.
We are quickly mastering EUV technology with Intel 4 as our first EUV node. As we focus on the next three nodes, Intel 3 is on track, and we highlighted in our recent DCAI webinar, Sierra Forest will begin shipping in the first half of '24 with Granite Rapids shortly thereafter, both on Intel 3. We also have significant milestones planned in Q2 for Intel 3, Intel 20A, and Intel 18A.
.....Overall, we are squarely on track to deliver five nodes in four years.
As stated earlier, Sierra Forest, our lead vehicle for Intel 3, will begin shipping in first half '24, with Granite Rapids shortly thereafter, both of which are receiving very positive responses from sample customers. Sierra Forest is our first E-core server CPU which will provide competitive performance per watt across workloads and leadership across many with all of the benefits of the x86 ecosystem. Clearwater Forest, which is the follow-on to Sierra Forest, is coming to market in 2025 and will be manufactured on Intel 18A, the node where we intend to achieve process leadership and representing the culmination of our five nodes in four-year strategy.
Intel 4 with the Meteor Lake volume ramp, we view that as all but done, right? We are -- essentially, the process is PRQ-ed. And now we're ramping the product which will PRQ later in the year.
Obviously, the next one up is Intel 3. And with Intel 3, the positive updates that we've given on Granite and Sierra Forest for next year, the volume sampling that I've already referred to gives us a lot of confidence that that is now coming along very nicely. Both Intel 4 and Intel 3 are EUV nodes.
As you say, as we go to 20A and 18A, the two major innovations are the RibbonFET, the gate all-around transistor architecture, and the backside power. Given the uniqueness of the backside power, as you indicated, we had an internal node that we didn't expose to products or externally to derisk that node, and that went extremely well. We had very good results from the backside power, the power delivery, the routability improvements that that gave. And as I was -- as one proof point of that -- the ARM announcement was one that demonstrated significant benefits of backside power that we were able to do.
So, 20A and 18A are the next ones up. 20A will be primarily a client node as we ramp our Arrow Lake products in '24 and '25. 18A will be everything. We will have server products, client products, networking products, and many foundry products.
We also noted that this was the quarter that we have our first foundry test chips coming out. And so, some of the test chips for external customers on 18A are now popping out a fab and being tested by them. So, good affirmation from them. You also mentioned -- I think it's actually a very insightful question, Matt, the cost structure.
And one of the things that we've put a lot of emphasis on with 18A is getting to structural cost parity with what we believe is the best in the industry at that point. So, we view this as not just getting to power and performance parity but also area parity and cost structural parity as we get to 18A. And we believe, as we've benchmarked ourselves against the industry best, we believe we're on track to do that in the 18A time frame.
smalM
2023-04-29, 14:28:27
Was wurde aus Intel 3 als Foundry Node?
Scheint sang- und klanglos untergegangen zu sein.
dildo4u
2023-04-29, 14:37:37
Steht doch im Text Granite Rapids und Sierra Forest nutzt Intel 3.
https://www.heise.de/news/Intel-Sierra-Forest-bringt-144-CPU-Kerne-Granite-Rapids-mit-riesigen-Dies-8246953.html
reaperrr
2023-04-29, 15:13:21
Steht doch im Text Granite Rapids und Sierra Forest nutzt Intel 3.
https://www.heise.de/news/Intel-Sierra-Forest-bringt-144-CPU-Kerne-Granite-Rapids-mit-riesigen-Dies-8246953.html
Es ging smalM so wie ich es verstanden habe um Intel 3 als Foundry node für Kunden außerhalb Intels, und das ist ne berechtigte Frage, denn viel scheint sich an der Front nicht zu tun.
Ich tippe, dass 18A zu gut und zu nah ist und Intel 3 zu wenig Vorteile ggü. TSMC's Prozessen bietet, um für Kunden sonderlich attraktiv zu sein.
y33H@
2023-04-29, 15:43:18
Pat: "I am very happy that we were able to add a leading cloud, edge, and datacenter solutions provider as a leading-edge customer for Intel 3"
reaperrr
2023-04-29, 20:58:47
Pat: "I am very happy that we were able to add a leading cloud, edge, and datacenter solutions provider as a leading-edge customer for Intel 3"
Einen "leading customer" hatten sie für 10nm auch mal...:wink:
Aber ok, touché.
Mir ging es aber auch mehr darum, dass dildo4u's Antwort nicht zu smalM's Frage passte, weil man Intel kaum als richtigen Foundry Kunden für die eigenen Fabs bezeichnen kann.
Und einen richtigen Spin-Off wie bei AMD mit GloFo, der Intel zu einem reinen Kunden der ehemals eigenen Fabs macht, sehe ich in nächster Zeit nicht kommen.
...Außer sie sind finanziell dazu gezwungen, was natürlich durchaus irgendwann passieren kann, wenn es über einen längeren Zeitraum finanziell so weitergeht :freak:.......
smalM
2023-04-30, 11:52:17
Pat: "I am very happy that we were able to add a leading cloud, edge, and datacenter solutions provider as a leading-edge customer for Intel 3"
Danke.
Da bin ich gespannt, wer das ist und was dabei rauskommt.
...Außer sie sind finanziell dazu gezwungen, was natürlich durchaus irgendwann passieren kann, wenn es über einen längeren Zeitraum finanziell so weitergeht :freak:.......
Wer wollte die Fabs denn kaufen, wenn der 99%-Kunde den Bach runter geht?
CrazyIvan
2023-04-30, 12:23:52
@ y33H@
Hatte die letzte Zeit nicht ganz so gut aufgepasst, aber das müsste ja Qualcomm sein, oder? Wurden die nicht schon 21/22 als möglicher Kunde für Intel3 verkündet. Und was ist mit ARM? Sagte man denen nicht kürzlich nach, sie wollen selbst in das SoC Geschäft mit Intel als Foundry einsteigen?
y33H@
2023-04-30, 16:23:55
Qualcomm ist Customer für 20A und AWS für Packaging, für 18A gibt's die Collaboration mit ARM inklusive Test Chips für diverse IP Blöcke.
CrazyIvan
2023-05-02, 06:48:34
Danke für den Kurzüberblick. Nach so einem Quartal kann man Intel wirklich nur die Daumen drücken und hoffen, dass der Aufsichtsrat nicht das Vertrauen in Pat verliert. Einen besseren wird man IMHO nicht finden.
https://www.techpowerup.com/308065/amd-to-shift-some-of-its-4-nm-cpu-silicon-fabrication-to-samsung-from-tsmc
Nicht überraschend, dass AMD sowas macht. Hat man ja bei Polaris 2017 schon mal getan. Vielleicht wird ja Pheonix2 so ein Produkt in 4LPP.
amdfanuwe
2023-05-02, 09:33:57
Vielleicht wird ja Pheonix2 so ein Produkt in 4LPP+.
Wär nicht schlecht. Billige APU für den Massenmarkt und die IP für RDNA3 und ZEN4 Cores auch schon damit portiert.
Falls China Taiwan und damit TSMC Ärger macht, hat AMD einen second Source.
Complicated
2023-05-02, 11:04:31
Könnte auch eine rein strategische Entscheidung sein, dass der Zeitpunkt richtig ist bei Samsung eingebunden zu werden in die Entwicklung zum nächsten Technologiesprung mit GAA. Wer weiss welche Abhängigkeiten es da gibt mit EUV/DUV Details in den jeweiligen Prozessen der Foundries.
Nightspider
2023-05-02, 12:00:33
Bei Samsung habe ich noch immer Angst, das deren Prozess bis zu 20% ineffizientere Chips produziert.
dildo4u
2023-05-02, 13:02:21
Ich würde dort HX Modelle fertigen und alles unter 65 Watt bei TSMC.
Die APU müssen deutlich besser im Idle sein vs fette Gameing Notebooks.
KarlKastor
2023-05-02, 14:47:32
Naja mal abwarten. Ziemlich unrealistisch, dass AMD mit einem N4 oder N5 Produkt zu Samsung wechselt.
Eher ein 6nm Produkt. Da wird immer zu viel rein interpretiert. Vielleicht nur nen IO-Die, MCD oder low-cost Produkte wie Mendocino Nachfolger oder N24 Nachfolger.
Irgend was größeres oder abseits low-cost macht wenig Sinn, da die Effizienz unterirdisch ist.
Phoenix2 ist kein N4-Produkt, wenn es in 4LPP kommen würde ;). Darum gehts ja.
Aber es ist auch denkbar, ein CCD in 2 Prozessen zu designen, einfach, weil das das Butter und Brot-Produkt ist, wovon man nicht genug haben kann.
IODs, Low-Cost-Grafik und anderes wäre ganz nebenbei auch interessant.
Mich würd mal interessieren, wie leistungsfähig 4LPP wirklich ist, diese "das ist ein 7nm-Prozess" ist mir doch etwas zu stark vereinfacht. Lt. Anandtech gibts hier recht heftige Flächenreduktion und neue Materialen, das hört sich für mich eher nach einem neuen Prozess an.
Was noch interessant ist, ist, dass ich damit rechne, dass es in 25 noch eine 2. Generation Zen5-APUs in 3nm (incl. RDNA4) geben wird, ob N3e, N3X oder 3GAP ist dann auch ne Option.
Zossel
2023-05-03, 21:55:39
Ja, nein, doch, vielleicht, oder nicht:
https://www.derstandard.at/story/2000146099360/chiphersteller-tsmc-plant-mit-partnern-chipfabrik-in-deutschland
Als Partner werden NXP Semiconductors, Bosch und Infineon genannt.
NXP als Ex-Phillips hat ja schon immer viel mit TSMC gemacht.
Troyan
2023-05-04, 12:26:50
Habe gelesen, dass TSMC $11 Mrd. für die angeblich 28nm Fabrik in Deutschland eingeplant habe. $3 Mrd. sollen Subventionen sein.
Wow, für eine 28nm Fertigung. Also nichtmal FinFet, sondern eine Fertigung, die über 10 Jahre alt ist und deutlich günstiger fertigen kann.
Da will ich nicht wissen, was "State of the Art" 5nm Fabriken kosten...
Ich nehme an, das wird vor allem für die heimische Auto- und Elektronikindustrie sehr relevant sein, die brauchen keine state-of-the-Art-Prozesse.
Troyan
2023-05-04, 12:32:13
Und du glaubst, die kaufen bei TSMC in Deutschland, wenn die vorhandenen Fabriken schon längst abbezahlt sind? Ich sehe nicht den Sinn eine 28nm Fabrik für $11 Mrd. hier hinzustellen, wenn die Chips deutlich teurer sind... Inflation ahoi.
basix
2023-05-04, 12:55:10
Zu 28nm und 11 Mrd$: Ist immer eine Frage der Grösse ;) Ist ein Unterschied, ob 10, 100 oder 1000 kwpm
dildo4u
2023-05-05, 15:26:45
TSMC erwartet 2nm Massenproduktion in 2025
https://wccftech.com/tsmc-ships-15-million-wafers-in-2022-marking-7-7-annual-growth/
Troyan
2023-05-05, 17:03:59
Zu 28nm und 11 Mrd$: Ist immer eine Frage der Grösse ;) Ist ein Unterschied, ob 10, 100 oder 1000 kwpm
GlobalFoundries und Samsung haben konkurrenzfähige 28nm Prozesse. Ich sehe 0 Sinn eine neue, teure 28nm Fertigung in Deutschland aufzubauen, wenn vorhandene Fabriken deutlich günstiger fertigen können.
Wörns
2023-05-05, 18:11:17
Geht es denn überhaupt um Silizium? Vielleicht will auch TSMC auf den Siliziumkarbid-Zug aufspringen. Vielleicht auch Galliumnitrid. Das sind die kommenden Materialien für Leistungshalbleiter, wo man in Europa mitreden kann.
MfG
Sunrise
2023-05-05, 18:53:58
OMFG die AMD 90er Calls…. $0.01 auf $3.97 … fast 4000%…
Aus $100 dann $40,000 kranker Scheiss…
KarlKastor
2023-05-06, 06:11:27
Leistungshalbleiter in 28 nm?
Wörns
2023-05-06, 08:15:52
Ja, könnte etwas zu klein sein. Aber die Technologie schreitet auch da voran.
War auch eher eine Frage, ob Silizium denn sicher ist.
MfG
Tobalt
2023-05-06, 08:37:38
Leistungshalbleiter fertigt man in Größen von mm ;) TSMC wird da sicher nicht mitmischen, völlig falsche Branche.
Der Bedarf an 28 nm und ähnlichem ist gigantisch. Darf man auch nicht mit den ersten Nodes von Glofo vergleichen.
Die Yields sind sehr sehr gut, die Prozesse heute viel sparsamer und besser und günstiger. Damit kann man gut Geld machen, besonders in einem Land wie Deutschland mit seiner sehr hohen Dichte an Maschinen/Gerätebauern.
Für kleine ICs, wo die Größe eh durch die Kontakte maßgeblich begrenzt ist, braicht man dann keine teuren EUV Prozesse.
basix
2023-05-06, 09:25:18
28nm sehe ich als "End-Node" für Microcontroller. FinFET lohnt sich dort nicht. Viele MCU Designs sind heute noch in 90nm oder grösser unterwegs (faktisch die ganze heutige STM32 Familie ist bei 90nm) und selbst neueste Entwicklungen sind oftmals bei 65...90nm (Produkte, welche es heute noch nicht mal am Markt zu kaufen gibt). Die allerfettesten Microcontroller die ich kenne sind in 40nm unterwegs und von denen gibt es momentan noch nicht viele.
Da könnte 28nm schon nicht schlecht passen, wenn man langfristig denkt. Und Microcontroller wird man immer brauchen. Alle möglichen Sensoren und Steuergeräte benötigen eine MCU.
Edit:
OK, MCUs mit 28nm gibt es ebenfalls bereits mit den neuesten ARM Cortex Cores (M33, M55, M85). Das sind aber richtig fette Dinger. M33 ist verglichen mit den Vorgängern deutlich leistungsfähiger (z.B. standardmässig integrierte FPU) und 600MHz sind der Wahnsinn und völlig Overkill für die meisten Anwendungen. Für IoT, Edge Computing und Co. sind aber die ganzen Performance und Sicherheits-Features noch wichtig (z.B. integriertes DSP, SHA-2, AES256)
https://community.arm.com/arm-community-blogs/b/architectures-and-processors-blog/posts/nxp-enhance-security-with-arm-cortex-m33-based-chip
Global Foundries 22FDX wäre eigentlich auch noch für MCUs denkbar.
vinacis_vivids
2023-05-15, 18:48:27
TSMC "2nm" und neue 3nm in Form von "N3P* und "N3X"
https://www.heise.de/news/TSMC-Diese-Verbesserungen-bringen-2-Nanometer-Strukturen-9054463.html
davidzo
2023-05-16, 10:43:28
28nm sehe ich als "End-Node" für Microcontroller. FinFET lohnt sich dort nicht. Viele MCU Designs sind heute noch in 90nm oder grösser unterwegs (faktisch die ganze heutige STM32 Familie ist bei 90nm) und selbst neueste Entwicklungen sind oftmals bei 65...90nm (Produkte, welche es heute noch nicht mal am Markt zu kaufen gibt). Die allerfettesten Microcontroller die ich kenne sind in 40nm unterwegs und von denen gibt es momentan noch nicht viele.
Da könnte 28nm schon nicht schlecht passen, wenn man langfristig denkt. Und Microcontroller wird man immer brauchen. Alle möglichen Sensoren und Steuergeräte benötigen eine MCU.
Wird so schnell nicht passieren. Das praktische an einer MCU ist doch dass du eine vielzahl von Sensoren direkt an die i/os anschließen kannst. Deshalb war früher das Voltage Level 5V, da die ganze peripherie auch mit 5V lief. Seit einiger Zeit hat sich 3,3V für Sensoren durchgesetzt und mittlerweile gibt es auch einige 1,8V Applikationen. Dummerweise braucht man dadurch immer häufiger Pegelshifter, die Platinenplatz verbrauchen, die Kosten in die Höhe treibern und Energie fressen.
Es nützt überhaupt nichts, wenn ich einen 28nm Chip habe der minimal kleiner ist, aber mit einem logic level von 1,2Volt arbeitet für dass es keinerlei Peripherie gibt.
Gleichzeitig zu neuen MCUs muss die ganze Infrastruktur an Sensorik neuerfunden werden. Das wird noch einige Jahre dauern.
Für IoT, Edge Computing und Co. sind aber die ganzen Performance und Sicherheits-Features noch wichtig (z.B. integriertes DSP, SHA-2, AES256)
https://community.arm.com/arm-community-blogs/b/architectures-and-processors-blog/posts/nxp-enhance-security-with-arm-cortex-m33-based-chip
Global Foundries 22FDX wäre eigentlich auch noch für MCUs denkbar.
Edge Computing ist aber etwas völlig anderes als Microcontroller-Projekte. Klar, RaspberryPis und andere embedded computer sieht man auch gelegentlich, aber das sind keine MCUs und die werden auch nicht als Realtime programmiert. Das sind webserver oder edge-computing devices, hier spielt also die Performance eine Rolle und nicht die Konnektivität mit peripherie. Bei den meisten MCU Projekten geht es eher um die Sensorik und Analoge Messungen als um Computing. Die Responsiveness ergibt sich in erster Linie daraus wie man Task handling in RTos umsetzt und erst als zweites aus der Performance der zugrundeliegenden Hardware.
Tobalt
2023-05-16, 11:51:42
Unterschiedliche Spannungen für Logik und für IO gibt es doch schon lange. Xilinx nutzte 2010 28nm für FPGAs die auch 3.3V VIO fahren.
Für diese heutzutage Midrange/Lowend FPGAs mit extra VIO ist doch 28 nm passend. Vielleicht weniger ein MCU Markt, aber definitiv ein (boomender) automation Markt. Sag mal der FPGA/SoC Markt unter $10/pc.
Und wie gesagt automation passt doch wie Faust aufs Auge für Deutschland..Viele Masch/Gerätebauer, keine Fachkräfte, (noch) hohes Know-How und sehr hoher Automation Bedarf.
smalM
2023-05-16, 13:22:58
Und wie gesagt automation passt doch wie Faust aufs Auge für Deutschland..Viele Masch/Gerätebauer, keine Fachkräfte, (noch) hohes Know-How und sehr hoher Automation Bedarf.
Die Firmen verlagern lieber die Produktion ins Ausland, danach die Entwicklung und schließlich die Verwaltung. Der deutsche Absatzmarkt im Produktivgewerbe wird über kurz oder lang kollabieren. Wir haben dreimal soviel Industrie wie Frankreich, wir werden uns dem französischen Niveau angleichen.
davidzo
2023-05-16, 17:09:50
Unterschiedliche Spannungen für Logik und für IO gibt es doch schon lange. Xilinx nutzte 2010 28nm für FPGAs die auch 3.3V VIO fahren.
FPGA würde ich aber auch nicht als Microcontroller einordnen. Da ist der i/o Teil aber auch nur ein kleiner Teil vom Chip, der Großteil sind die programmierbaren Gatter und vielleicht etwas Sram, die natürlich nicht mit 3,3V laufen müssen.
Worauf ich hinaus will ist dass es bei den i/o circuits so gut wie keine Skalierungsbenefits mit 28nm gibt wenn man die für 3,3V eh super fett bauen muss. Der 3,3V Teil nimmt dann genau soviel Platz ein wie in 90nm und ist vermutlich in 0,25µm auch nicht größer. Wenn man also Chips hat die zu großen Teilen aus i/o bestehen, am besten reichlich ADC Wandler onboard haben, dann ist die value proposition von 28nm einfach nicht sehr gut solange 45nm, 65nm oder 90nm billiger sind. Mit denen kann man einfach schon ähnliche chipgrößen + verbrauch erreichen.
Wir nutzen in einer Anwendung einen STM32 und der verbraucht im standby so wenige pA dass das gerät selbst mit 3xAA Zellen Jahre durchhält. Szenarien wo noch höhere Effizienz gefragt sind, würde ich derzeit noch als ausgesprochene Edge Cases bezeichnen.
Zossel
2023-05-16, 17:53:30
Bei den meisten MCU Projekten geht es eher um die Sensorik und Analoge Messungen als um Computing. Die Responsiveness ergibt sich in erster Linie daraus wie man Task handling in RTos umsetzt und erst als zweites aus der Performance der zugrundeliegenden Hardware.
Und vor allen können µc um ein vielfaches schneller und in definierten Zeiträumen als diese Gigahertz Quatschkisten mit zig Cores auf irgendwelche Events reagieren
iamthebear
2023-05-16, 18:05:22
Das Problem ist, dass es massenhaft 28nm Fabs gibt, die nun abgeschrieben sind und deutlich günstiger produzieren können und das nichtnur von TSMC.
Es gibt keine 28nm Kapazitätsprobleme an sich. Das Problem der Automobilindustrie ist ihre just in time Mentalität, die sobald die Lieferketten instabil werden sofort ins Desaster führt.
Und als Folgeproblem kauft die Automobilindustrie jetzt verzweifelt Komponenten auf, was jedoch dazu führt dass alle anderen Zweige wie die Automatisierungstechnik, die eine Menge gemeinsamer Komponenten haben nun auch auf dem trockenen sitzen weil es bei allen Zulieferern eine "Automotive first" Policy gibt, da diese ein relativ träger Kundenstock sind, den man normalerweise nur schwer bekommt aber eben dann auch über Jahre/Jahrzehnte halten kann.
Das mit den 28nm Fabs in Europa ist so eine klassische Pfuschlösung. Was wir brauchen sind Leading Edge Fabs d.h. wenn man jetzt anfängt zu bauen, dann müssen diese 1.4nm abdecken können denn das wird Leading Edge sein sobald die Fab in 5 Jahren in Betrieb geht. Meinetwegen noch 2nm aber alles andere macht keinen Sinn.
Nur dafür ist deutlich mehr Budget notwendig also was machen unsere Politiker: Sie verschwenden Steuergeld für 28nm Fabs die keinem Nützen mit der Strategie, dass ein Großteil der Wähler den Unterschied sowieso nicht kennt. Läuft ja mit dem Breitbandausbau ähnlich.
Complicated
2023-05-16, 18:20:37
Deshalb baut TSMC auch überall neue 28nm Fabs, weil die keinen Sinn machen.
Der Trend ist einfach Custom-Fabs, wie für Bosch oder Sony. Es wird auf absehbare Zeit für viele Branchen 28nm attraktiv genug sein.
https://image-sensors-world.blogspot.com/2020/07/tsmc-builds-dedicated-28nm-fab-for-sony.html
In the face of Samsung's close pursuit, Sony decided to expand its partnership with TSMC, hoping to win 60% of the global Market Share of CIS Image Sensors by 2025.
28nm ist alles andere als ein unrentabler Prozess. Und nicht nur Leading Edge ist kritisch für mache Branchen. 40nm und 65nm hatten auch noch einen Umsatzanteil von 7% bzw. 6% und 28nm 12%. Also kann man bei 28nm ebenfalls noch von einer langen Lebensdauer ausgehen bis es bei den 6% Umsatzanteil ankommt.
https://pics.computerbase.de/1/0/7/4/7/5-59fd778976cfa41c/1-1080.88c6f8db.png
Zossel
2023-05-16, 18:21:01
Was wir brauchen sind Leading Edge Fabs d.h. wenn man jetzt anfängt zu bauen, dann müssen diese 1.4nm abdecken können denn das wird Leading Edge sein sobald die Fab in 5 Jahren in Betrieb geht. Meinetwegen noch 2nm aber alles andere macht keinen Sinn.
Und die fertigen Chips schickt man dann nach Asien um die dort auf Platinen zu löten?
Tobalt
2023-05-16, 20:06:23
Ich denke gerade im Automation Sektor wird in Zukunft viel von "dummen" MCU-basierten Sachen auf etwas smartere Lösungen gesetzt werden, um immer komplexere Aufgaben abdecken zu können, weil halt die Fachkräfte gerade im überalterten Deutschland wegfallen.
Simples/doffes Beispiel: Aktuell wird irgendwo paar Lichtschranken ausgelesen, Mitarbeiter drückt nen Knopf, wenn er das Teil richtig eingelegt hat, Prozess beginnt -> easy MCU steuerung.
In Zukunft kommt auch öfter mal ne kleine "Kamera" oder andere Sensorarrays zum Einsatz um mit irgendwo zufällig aufs Band gerieselte Baugruppen richtig zu erkennen und dann in den Prozess zu integrieren, Mitarbeiter obsolet. Man braucht aber tendenziell mehr ALU power als die cheapo MCUs bieten.
Egal ob das dann FPGA sind oder einfach nur aufgebohrte MCU (wie weiter oben von basix erwähnt), der Bedarf für diese relativ simplen Automation aufgaben ist IMO gigantisch.
Anyway, für 3dc ist die potentielle 28nm Fab ziemlich OT...
Zossel
2023-05-16, 21:12:55
Anyway, für 3dc ist die potentielle 28nm Fab ziemlich OT...
Warum?
Tobalt
2023-05-16, 21:35:24
Bei dem Threadtitel ging ich von aus, dass hier nur die Nodes von Interesse sind, mit denen künftige 3dc User Hardware gebaut wird :)
basix
2023-05-16, 22:33:15
Wird so schnell nicht passieren. Das praktische an einer MCU ist doch dass du eine vielzahl von Sensoren direkt an die i/os anschließen kannst. Deshalb war früher das Voltage Level 5V, da die ganze peripherie auch mit 5V lief. Seit einiger Zeit hat sich 3,3V für Sensoren durchgesetzt und mittlerweile gibt es auch einige 1,8V Applikationen. Dummerweise braucht man dadurch immer häufiger Pegelshifter, die Platinenplatz verbrauchen, die Kosten in die Höhe treibern und Energie fressen.
Es nützt überhaupt nichts, wenn ich einen 28nm Chip habe der minimal kleiner ist, aber mit einem logic level von 1,2Volt arbeitet für dass es keinerlei Peripherie gibt.
Gleichzeitig zu neuen MCUs muss die ganze Infrastruktur an Sensorik neuerfunden werden. Das wird noch einige Jahre dauern.
Moderne MCUs, ICs und Sensoren laufen typischerweise im Bereich zwischen 1.65...3.6V. Und das schon seit recht langem. Damit kann man das Design oft Single Supply gestalten (ab 5V USB oder Batterie) oder knallt im Zweifelsfall noch einen zusätzlichen LDO für <0.1$ drauf, wenn ein Baustein doch mal nach 3.3V verlangt.
Pegelshifter musste ich bisher nur selten verwenden und im Falle von nur wenigen Signalen tut es auch ein einzelner MOSFET + 2x Widerstände pro Signal. Im Falle von Pegelshifter-ICs und zusätzlichen LDO ist der statische Stromverbrauch heutzutage im tiefen einstelligen Mikroampere Bereich. Da reicht auch eine einzelne Taschenrechner-Solarzelle mehr als genug. Oder eine einzelne AAA Batterie mit 1200mAh kann den Zusatzverbrauch für >10 Jahre stemmen. Ist ausserhalb von allfälligen (geringen) Zusatzkosten und Platzbedarf ein non-issue.
1.2V ist eher exotisch, das wird bei "normalen" MCUs bei >1.65V bleiben. Und ausserdem ist eh nur I/O auf hohe Spannungen ausgelegt. Die ganze Logik usw. wird typischerweise mit tieferer Spannung betrieben (1.2...1.8V), wobei die MCU einen entsprechenden LDO für den Core usw. gleich integriert hat. Das ist heutzutage Standard. Tiefere Spannungen reduzieren den Energieverbrauch und man kann die Transistoren dichter packen. Beides sehr erwünschte Eigenschaften einer MCU. Und dann noch die vielen MCUs mit 5V-Tolerant Pins, Open-Collector usw. da gibt es mehr als genug Auswahl für das eigene Projekt ;)
Dennoch ist 28nm wie gesagt ein "End Node". Und ja, bis MCUs breitflächig dort ankommen dauert das noch eine Weile. Das hapert meistens an Analog-Peripherie, welche hinsichtlich Signal-to-Noise mit kleineren Nodes nicht wirklich besser wird (und sehr aufwändig in der Entwicklung sind). Und die alten Nodes sind sehr günstig und oftmals hat man noch Fabriken, die ihre Lebensdauer noch nicht hinter sich haben. Und für eine LED ansteuern ist ein 28nm Chip völliger Overkill. Dennoch erlebe ich selbst, dass die alten Nodes meistens einfach nur noch weiterlaufen. Neues wird nur noch selten umgesetzt und viele Anbieter rationalisieren die älteren Nodes weg, weil die Umsätze immer kleiner werden. Kosten vs. Funktionsumfang sind bei 40-65nm oftmals halt einfach besser als bei 130nm. Und wenn die Fabrik eine Fertigungslinie nicht mehr ausgelastet bekommt und das Equipment nur noch rumsteht (und oftmals Schwierigkeiten in der Wartung bekommen, da die Ersatzteile nicht mehr produziert werden oder das Know How der Anlagen "wegpensioniert" wird), lässt man die Nodes auslaufen (zum teil auch "forciert", indem man die Stückpreise der ICs auf diesem Node erhöht und das dem Kunden irgendwann weh tut ;)). Die Pandemie hat diese Entwicklung gefühlt nochmals beschleunigt.
Das mit den 28nm Fabs in Europa ist so eine klassische Pfuschlösung. Was wir brauchen sind Leading Edge Fabs d.h. wenn man jetzt anfängt zu bauen, dann müssen diese 1.4nm abdecken können denn das wird Leading Edge sein sobald die Fab in 5 Jahren in Betrieb geht. Meinetwegen noch 2nm aber alles andere macht keinen Sinn.
Nur dafür ist deutlich mehr Budget notwendig also was machen unsere Politiker: Sie verschwenden Steuergeld für 28nm Fabs die keinem Nützen mit der Strategie, dass ein Großteil der Wähler den Unterschied sowieso nicht kennt. Läuft ja mit dem Breitbandausbau ähnlich.
Kommt drauf an wen du fragst. Die Industrie ist zu grossen Teilen eher an >= 28nm denn an Leading Edge interessiert. Und genau für die Industrie werden diese Fabriken gebaut, nicht für "Prozessoren" über welche wir uns hier im 3DC typischerweise unterhalten. Der Wirtschaftsfaktor der Industrie ist zudem um ein Vielfaches höher, als wenn man Leading Edge Prozessoren in Europa produzieren würde. Jede Kaffemaschine und jeder Industrieroboter oder automatisierte Fertigungsstrasse ist mit einer Unmenge an 28+ nm Chips gebaut. Selbst in modernen PCs sind von der Anzahl her nur wenige Chips mit <28nm unterwegs (Chipset, CPU, GPU, Networking, SSD Controller)
Deshalb baut TSMC auch überall neue 28nm Fabs, weil die keinen Sinn machen.
Der Trend ist einfach Custom-Fabs, wie für Bosch oder Sony. Es wird auf absehbare Zeit für viele Branchen 28nm attraktiv genug sein.
https://image-sensors-world.blogspot.com/2020/07/tsmc-builds-dedicated-28nm-fab-for-sony.html
28nm ist alles andere als ein unrentabler Prozess. Und nicht nur Leading Edge ist kritisch für mache Branchen. 40nm und 65nm hatten auch noch einen Umsatzanteil von 7% bzw. 6% und 28nm 12%. Also kann man bei 28nm ebenfalls noch von einer langen Lebensdauer ausgehen bis es bei den 6% Umsatzanteil ankommt.
https://pics.computerbase.de/1/0/7/4/7/5-59fd778976cfa41c/1-1080.88c6f8db.png
+1
Zossel
2023-05-17, 06:10:43
Bei dem Threadtitel ging ich von aus, dass hier nur die Nodes von Interesse sind, mit denen künftige 3dc User Hardware gebaut wird :)
Schon vergessen das in den letzten 3 Jahren HW auch nicht lieferbar war weil Pille-Palle Chips (u.a. PowerManagement) nicht lieferbar waren?
Zossel
2023-05-17, 06:20:04
Kommt drauf an wen du fragst. Die Industrie ist zu grossen Teilen eher an >= 28nm denn an Leading Edge interessiert.
In welcher Größe wird eigentlich das meist verkaufte IC der Welt (NE555) (https://de.wikipedia.org/wiki/NE555) aktuell gebaut?
Tobalt
2023-05-17, 07:22:36
Die CMOS Variante sicher mit der größten, die der jeweilige Fertiger noch hat. Die Urvariante ist ja eh bipolar und kein CMOS Node. Strukturen sind mehrere Mikrometrt groß und selbst mit einem Mikroskop erkennbar: https://zeptobars.com/en/read/Ti-555-NE555-real-vs-face-china-chinese
Aber mit dem 555 verlassen wir nun wirklich die 3dc Relevanz :freak: Kenne niemanden, der den heute noch verwendet.
basix
2023-05-17, 08:16:43
In welcher Größe wird eigentlich das meist verkaufte IC der Welt (NE555) (https://de.wikipedia.org/wiki/NE555) aktuell gebaut?
Keine Ahnung. Aber wie Tobald schon sagte hat das mal im Mikrometer Bereich gestartet (10um um genau zu sein, Bipolar). Die neuesten CMOS Dinger evtl. 350nm (wenn überhaupt, vermutlich sogar eher 800nm). Das ist ein Prozess aus 1995: https://en.wikichip.org/wiki/technology_node
160...180nm ist für viele Analog ICs und ASICs noch relativ verbreitet (auch neue Designs, insbesondere mit High Voltage >50V).
Jedenfalls sind die 555 Dinger fast unzerstörbar. Ich habe für ein Studentenprojekt mal versucht, einen CMOS-Latchup bei einem 555er zu provozieren, keine Chance :D
Hier ein Buch über Analog Design von Hans Camenzind, dem Designer des NE555. Kann man gratis runter laden. Der Prozess hinter dem 555er ist ebenfalls beschrieben (Kapitel 11): http://www.designinganalogchips.com/
Auf Seite 147: Figure 11-9 shows a design using a more modern 5-Volt (0.5um) process.
Am Nachnamen her habe ich schon gedacht: Ein Landesvetter :)
Skysnake
2023-05-17, 08:37:51
TSMC 65nm LP hat auch BiPolar Transistoren im PDK. Gibt es also durchaus auch für neuere nodes. Das Problem ist die Breakdown voltage der Gates. Das Zeug hat dir einfach durch. Da hast du keine Chance. Daher muss man bei IO Voltage von 3.3V etc dann au v zu Tricks greifen, damit der einzelne Transistor nie so ne hohe Spannungsdifferenz sieht.
Und ob ihr es glaubt oder nicht. In euren CPUs und GPUs greift man zu den gleichen Tricks.
Denn am Ende vom Tag ist Digitaldesign einfach nur Analogdesign mit richtig fetten Margins. Und das ganze IO Zeug ist immer ein Analogdesign. Genau wie ne Bandgap Spannungsreferenz oder ne ClockSource usw.
Und ja das Zeug ist Freaky komplex. Daher hat AMD die Sparte ja auch verkauft und kauft nur noch fertige IP. Das verteilt die Entwicklungskosten einfach auf mehr Kunden.
davidzo
2023-05-17, 13:03:36
Aber mit dem 555 verlassen wir nun wirklich die 3dc Relevanz :freak: Kenne niemanden, der den heute noch verwendet.
Habe gerade erst einen PWM Controller für einen PS3 Lüfter damit gebaut weil übliche pwm controller nicht genug current vertragen (3A+). Der Chip ist durchaus noch relevant.
Tobalt
2023-05-17, 16:09:08
Dafür hätte es ein simpler comparator sicher auch getan 🙄
vBulletin®, Copyright ©2000-2025, Jelsoft Enterprises Ltd.