PDA

Archiv verlassen und diese Seite im Standarddesign anzeigen : Chipfertigung: 10 FF, EUV, 7 nm, 5 nm, 3 nm, GAF, ...


Seiten : [1] 2 3 4 5 6 7 8 9 10 11 12 13 14 15

HOT
2013-10-04, 10:52:31
20nm planar lohnt sich kaum, da die Fertigungskosten um ca. 1/3 steigen und die Effizienzsteigerung bei gutem Reifegrad bei maximal 15-20% eingeschätzt wird. AMD entschied sich dagegen, weil 28nm HPM höhere Takte und weniger Ausschuss ermöglicht lt. eigenen Aussagen. Bei heutigem frühen Reifegrad bei TSMC dürfte ein 20nm Hawaii das doppelte kosten wie in 28nm und trotzdem weniger effizient sein.
Anders sieht das mit 20nm FinFETs (also TSMCs 16nm) aus, das dürfte einiges bringen. NV bleibt bei Maxwell ja auch bei 28nm nach heutigem Stand. Beide Hersteller werden erst die Mehrkosten auf sich nehmen, wenn der Leistungssprung entsprechend groß ist. Man muss als Unternehmen nunmal kalkulieren.

Dural
2013-10-04, 10:56:02
AMD hat sich laut eigener Aussage dagegen entschieden weil es derzeit noch zu teuer ist ja, aber das wird ca. Mitte 2014 anders sein und wir werden da ziemlich sicher die ersten 20nm GPUs sehen.

HOT
2013-10-04, 10:56:40
Nein werden wir nicht. Wenn wir 20nm GPUs sehen, dann nicht vor Ende 2014, eher Anfang 2015.

S940
2013-10-04, 11:02:47
Nein werden wir nicht. Wenn wir 20nm GPUs sehen, dann nicht vor Ende 2014, eher Anfang 2015.
Bin gespannt, ob NV+AMD 20nm links liegen lassen und gleich die Finfet-Version verwenden. Dauert zwar ein bisschen länger (~6 Monate schätze ich mal, falls alles wie geplant läuft), aber in der Zeit kann man ja noch 28nm bis an die Grenze fahren.

Botcruscher
2013-10-04, 11:20:31
Die Interessante Frage ist doch, wo die 20nm GPUs bleiben. Jetzt noch eine 28nm GPU zu kaufen halte ich so wie so für einen Fehler :rolleyes:

Für 20nm sehen wir uns mit bescheidenem Volumen in einem Jahr noch mal wieder. Da kommt so schnell nichts und was kommt wird teuer. Aus den letzten Jahren sollte man doch gelernt haben.

Im übrigen gibt es noch genug Leute mit einer GPU ala 560,570 oder HD69XX. Wer sich die überzogenen Preise nicht angetan hat, macht mit einer 28nm GPU heute ganz sicher nichts falsch.

Duplex
2013-10-04, 11:45:02
Bin gespannt, ob NV+AMD 20nm links liegen lassen und gleich die Finfet-Version verwenden. Dauert zwar ein bisschen länger (~6 Monate schätze ich mal, falls alles wie geplant läuft), aber in der Zeit kann man ja noch 28nm bis an die Grenze fahren.
Diese Gedanken habe ich auch gehabt, 20nm überspringen und auf 16nm TSMC warten.
Pirate Islands könnte eine 3. 28nm Generation werden, angeblich ist ein HPM Nachfolger bei TSMC für 28nm in Arbeit.

HOT
2013-10-04, 12:18:28
Diese Gedanken habe ich auch gehabt, 20nm überspringen und auf 16nm TSMC warten.
Pirate Islands könnte eine 3. 28nm Generation werden, angeblich ist ein HPM Nachfolger bei TSMC für 28nm in Arbeit.

Hört sich für mich auch am plausibelsten an.

Nightspider
2013-10-04, 12:27:04
Ich finds ja lustig wie ihr alle denkt, das 20nm Chips perse teurer werden als 28nm Chips.

Wenn ihr einen großen 28nm Chip shrinkt ist dieser in 20nm immer noch billiger weil der Chip nur noch halb so groß ist. Selbst wenn der Prozess dann 30% mehr kostet könnt ihr pro Wafer viel mehr Chips herausholen.

Abgesehen davon kostet ein GK110 nicht mal 200 Dollar. In 20nm würde ein GK110 nur 150 Dollar oder weniger kosten, Ende Q1. Unterm Strich würde der 20nm Prozess bei einem größeren Chip vielleicht nur 30 Dollar Preisunterschied ausmachen. Bei größeren Chips etwas mehr. Für 20% mehr Effizienz UND Ersparnis durch kleinere Chips gibt es nichts was gegen 20nm spricht, solange er verfügbar ist.

Keine GPU vor Ende 2014 in 20nm? Das ich nicht lache. Als erstes werden die mobile GPUs vom 20nm Band laufen und das sicherlich vor Ende Juni 14.

Undertaker
2013-10-04, 12:31:40
Diese Gedanken habe ich auch gehabt, 20nm überspringen und auf 16nm TSMC warten.

Ohne genaue Kenntnis diverser Unbekannter kann man hier eigentlich nur raten. Welche Performance- und Power-Vorteile bietet der 16nm-FinFET-Prozess letztlich genau ggü. 20nm HKMG? Wie schnell lassen sich jeweils Chipgrößen von >100mm², >200mm² oder >300mm² mit verträglicher Ausbeute fertigen? Wie viel teurer wird insbesondere der 16nm-Prozess?

Ronny145
2013-10-04, 12:34:14
Keine GPU vor Ende 2014 in 20nm? Das ich nicht lache. Als erstes werden die mobile GPUs vom 20nm Band laufen und das sicherlich vor Ende Juni 14.


Der Größenunterschied zu mobilen GPU ist dir bekannt? Hier gehts um große dedizierte GPUs im Performance bis Highend Bereich. Wenn Maxwell im Frühjahr noch auf 28nm basiert, würde ich vor Herbst 20nm GPUs nicht erwarten. AMD sicher ähnlich, jedenfalls nicht vor H2 2014. Vielleicht kommen ein paar kleinere Notebook GPUs früher in 20nm, das ist immer möglich.

Zu 16nm müsste man mindestens 1 Jahr draufschlagen. Wie man darauf kommen kann das Nvidia und AMD 20nm auslassen, ist mir schleierhaft.

dargo
2013-10-04, 12:35:43
Die Interessante Frage ist doch, wo die 20nm GPUs bleiben. Jetzt noch eine 28nm GPU zu kaufen halte ich so wie so für einen Fehler :rolleyes:
Wenn ich so auf den Start von 28nm zurückblicke dann sehe ich humane Preise bei 20nm 2015/2016. :rolleyes:

Ailuros
2013-10-04, 12:36:18
Ich finds ja lustig wie ihr alle denkt, das 20nm Chips perse teurer werden als 28nm Chips.

So lange die yields unter 20nm ultra-beschissen sein werden nach dem Produktions-start, gilt es sehr wohl und es wurde von AMD u.a. auch oeffentlich bestaetigt dass dem so ist.

Wenn ihr einen großen 28nm Chip shrinkt ist dieser in 20nm immer noch billiger weil der Chip nur noch halb so groß ist. Selbst wenn der Prozess dann 30% mehr kostet könnt ihr pro Wafer viel mehr Chips herausholen.

Es gilt nach wie vor das obrige; wenn ich von einem 28nm wafer 80 gesunde chips bekomme als Beispiel und von einem 20nm wafer nur 20 was dann? Es interessiert in dem Fall die Bohne wie gross jeder chip ist.

Abgesehen davon kostet ein GK110 nicht mal 200 Dollar.

Er hat nie so viel gekostet.

In 20nm würde ein GK110 nur 150 Dollar oder weniger kosten, Ende Q1.

Wieso sollte NV so daemlich sein und den GK110 auf 20nm schrumpfen um da erstmal anzufangen? Sonst nochmal siehe oben.

Unterm Strich würde der 20nm Prozess bei einem größeren Chip vielleicht nur 30 Dollar Preisunterschied ausmachen. Bei größeren Chips etwas mehr. Für 20% mehr Effizienz UND Ersparnis durch kleinere Chips gibt es nichts was gegen 20nm spricht, solange er verfügbar ist.

Quatsch.

Keine GPU vor Ende 2014 in 20nm? Das ich nicht lache. Als erstes werden die mobile GPUs vom 20nm Band laufen und das sicherlich vor Ende Juni 14.

Ja es wird KEINEN hochkomplizierten GPU chip vor Ende 2014 fuer desktop geben. Aber da Du Dir im letzten Satz so selbstsicher bist kannst Du mir sicher sagen in welchem Entwicklungsstadium sich der grosse Maxwell genau momentan befindet.

fondness
2013-10-04, 12:36:45
Wie sicher sich hier alle sind, obwohl wohl niemand wirklich weiß wie es um 20nm steht. Alles was man weiß, ist, dass sich der Effiziengewinn in Grenzen hält laut TSMC und das man doch immer wieder kritische Stimmen hört was die Kosten betrifft. Also ich würde keine 20nm Chips vor Ende 2014 erwarten. Den Maxwell Big-Chip kann man getrost auf 2015 setzen.

Nightspider
2013-10-04, 12:37:48
Und du weißt das in einer GTX680M Grafikeinheit für Notebooks ein GK104 drin steckt? ;)

Zumal ein Chip in GK104 Größenordnung die Performance-Klasse abdecken kann wie bei Kepler.

robbitop
2013-10-04, 12:38:26
Ich finds ja lustig wie ihr alle denkt, das 20nm Chips perse teurer werden als 28nm Chips.

Wenn ihr einen großen 28nm Chip shrinkt ist dieser in 20nm immer noch billiger weil der Chip nur noch halb so groß ist. Selbst wenn der Prozess dann 30% mehr kostet könnt ihr pro Wafer viel mehr Chips herausholen.

Milchmädchenrechnung. Wenn die Erträge schlechter sind und die Kosten pro Wafer höher (jemand muss die Prozessforschung zahlen!), ist der Kostenvorteil dahin. Wenn nicht sogar teurer. NV/AMD werden umsteigen, sobald es sich lohnt - aber sicher nicht eher.

Nightspider
2013-10-04, 12:41:55
Wieso sollte NV so daemlich sein und den GK110 auf 20nm schrumpfen um da erstmal anzufangen? Sonst nochmal siehe oben.

Sagt ja auch keiner. Es geht nur um die theoretischen Kosten.

Quatsch.

Weil?


Ja es wird KEINEN hochkomplizierten GPU chip vor Ende 2014 fuer desktop geben. Aber da Du Dir im letzten Satz so selbstsicher bist kannst Du mir sicher sagen in welchem Entwicklungsstadium sich der grosse Maxwell genau momentan befindet.

Ja und? Wer sagt das? Und was ist bei dir ein ""hochkomplizierter"" Chip?

Abgesehen davon wurde hier vor wenigen Wochen noch von 20nm spekuliert und Risk-Produtkion und auf einmal soll nicht mal mehr ein 250-290mm² Chip vom 20nm Band fallen dürfen vor Mitte 2014? Süß. ;D

Ailuros
2013-10-04, 12:43:19
Und du weißt das in einer GTX680M Grafikeinheit für Notebooks ein GK104 drin steckt? ;)

Zumal ein Chip in GK104 Größenordnung die Performance-Klasse abdecken kann wie bei Kepler.

Maxwell performance Anfang 2014 wird NICHT unter 20nm kommen; sonst da wir in einem AMD thread sind es kommt auch nichts von AMD vor H2 14'.

Nightspider
2013-10-04, 12:43:36
Milchmädchenrechnung. Wenn die Erträge schlechter sind und die Kosten pro Wafer höher (jemand muss die Prozessforschung zahlen!), ist der Kostenvorteil dahin. Wenn nicht sogar teurer. NV/AMD werden umsteigen, sobald es sich lohnt - aber sicher nicht eher.

Ich rede ja auch von einem funktionierenden 20nm Prozess. Und so wie es bisher aussieht läuft er ja nicht so mies wie der 28nm Prozess am Anfang.

Und versucht mir nicht zu erzählen das der 20nm Prozess bis Ende 2014 so schlecht laufen wird, das keine 2XXmm² Chips von Band fallen können.

Nightspider
2013-10-04, 12:44:29
Maxwell performance Anfang 2014 wird NICHT unter 20nm kommen; sonst da wir in einem AMD thread sind es kommt auch nichts von AMD vor H2 14'.

Und ich bin Selbstbewusst? ;D

Wo hast du deine Glaskugel her? ;)

Ronny145
2013-10-04, 12:44:46
Und du weißt das in einer GTX680M Grafikeinheit für Notebooks ein GK104 drin steckt? ;)



Aus der Vergangenheit wissen wir das wenn, dann zuerst kleinere mobile Reihen sich am neuen Prozess bedienen.

OBrian
2013-10-04, 12:45:28
Sollte bei TSMC der "20-nm"-Prozeß nicht eigentlich 28 nm mit FinFETs sein? Was dann zwar weniger Stromverbrauch und höhere Takte bedeutet, aber keine Flächeneinsparung. Bei GF soll dieser Schritt ja erst mit 14XM kommen, was also kein echtes 14 nm ist, sondern 20 mit FinFETs.

Wenn es keine Flächeneinsparung gibt, dann ist die Kalkulation natürlich ganz anders, und dann werden sich die IHVs überlegen, ob sie die Vorteile von FinFETs nicht auch anders hinbekommen (statt höherem Takt einfach einen größeren Chip), um damit das gleiche Ergebnis hinzubekommen. Größerer Chip bedeutet zwar höhere Kosten, aber der neue Fertigungsprozeß wäre auch teurer und vor allem ein größeres Risiko.

Nightspider
2013-10-04, 12:47:09
Aus der Vergangenheit wissen wir das wenn, dann zuerst kleinere mobile Reihen sich am neuen Prozess bedienen.

Soweit so bekannt.
Doch auch kleine GPUs sind GPUs und wenn hier Leute schreiben das es vor Ende 2014 keine 20nm GPUs gibt muss ich lachen. ;)

Ailuros
2013-10-04, 12:47:57
Sagt ja auch keiner. Es geht nur um die theoretischen Kosten.

FYI GK110 kostet weniger als $150 heute unter 28HP herzustellen.

Weil?

Weil sich mehrere IHV Angestellte oeffentlich darueber geaeussert haben dass die Kosten unter 20nm fast doppelt so hoch sein werden am Anfang.

Ja und? Wer sagt das? Und was ist bei dir ein ""hochkomplizierter"" Chip?

Die Industrie dort draussen.

Abgesehen davon wurde hier vor wenigen Wochen noch von 20nm spekuliert und Risk-Produtkion und auf einmal soll nicht mal mehr ein 250-290mm² Chip vom 20nm Band fallen dürfen vor Mitte 2014? Süß. ;D

Und es wurden von all den LOGISCHEN Stimmen hier im Forum betont dass es absoluter bullshit ist.

robbitop
2013-10-04, 12:48:17
Ich rede ja auch von einem funktionierenden 20nm Prozess. Und so wie es bisher aussieht läuft er ja nicht so mies wie der 28nm Prozess am Anfang.

Aha? Und woher kommt deine Erkenntnis?

Soweit so bekannt.
Doch auch kleine GPUs sind GPUs und wenn hier Leute schreiben das es vor Ende 2014 keine 20nm GPUs gibt muss ich lachen. ;)
Weil du ja auch voll der Pro bist, der das einschätzen kann. :D

Ailuros
2013-10-04, 12:50:17
Und ich bin Selbstbewusst? ;D

Wo hast du deine Glaskugel her? ;)

Da wo ich sie schon immer habe. Von mir aus renn mit dem Kopf durch die Wand aber wir spinnen hier nicht alle rein zufaellig und nur Du weisst etwas dass wir alle nicht wissen. Noch weiter Maxwell top dog ist noch nicht fertig.

Sonst gibt es etliche solcher links von diversen Seiten und Zeitpunkten die genau das gleiche behaupten:

http://www.fudzilla.com/home/item/32578-20nm-high-performance-chips-in-2h-2014

Wenn Qualcomm nicht innerhalb 2014 auf 20nm steigt heisst es wohl dass sie mit 28HPM@TSMC um zich Mal besser bedient sind.

Duplex
2013-10-04, 12:54:16
Den Maxwell Big-Chip kann man getrost auf 2015 setzen.
Wenn GM104 noch 28nm ist, dann ist GM110 evtl. auch 28nm.
Ich erwarte zwischen Q2-Q3 2014 ein neuen großen 28nm Chip von Nvidia.

GM104 > 384 Bit SI 300-350 mm²
GM110 > 512 Bit SI 550-600 mm²

Ailuros
2013-10-04, 12:56:01
Wenn GM104 noch 28nm ist, dann ist GM110 evtl. auch 28nm.

Nein zum letzten :P

Ich erwarte zwischen Q2-Q3 2014 ein neuen großen 28nm Chip von Nvidia.

GM104 > 384 Bit SI 300-350 mm²
GM110 > 512 Bit SI 550-600 mm²

Siehe oben.

Nightspider
2013-10-04, 12:59:28
FYI GK110 kostet weniger als $150 heute unter 28HP herzustellen.

Umso besser. Dann wäre der Aufschlag für 20nm noch geringer pro Chip.

Weil sich mehrere IHV Angestellte oeffentlich darueber geaeussert haben dass die Kosten unter 20nm fast doppelt so hoch sein werden am Anfang.

Dann bleibt wieder die Frage wann "am Anfang" ist. Heute? Gestern? Ende 2013? In dem Falle redet hier und vor allem ich ganz bestimmt nicht von "ganz am Anfang" was deine Aussage wieder uninteressant macht.
TSMC sprach selbst von 30% im Durchschnitt betrachtet über die Laufzeit-nehme ich an.
Schließlich war 28nm """am Anfang""" auch mal n² mal teurer als jetzt. (² bitte beliebige Zahl einsetzen oder beim IHV nachfragen)


Die Industrie dort draussen.

Und was ist für die Industrie dort draußen ein "hochkomplexer Chip" ?

Teilweise ließt du meine Aussagen so wie du sie lesen willst und wirfst mit Argumenten um dich die nicht direkt von Zusammenhang sind, wie ""am Anfang"" ... ""hochkomplex"" ... usw.

Aha? Und woher kommt deine Erkenntnis?

TMSC hat den 20nm Prozess ein paar Monate nach vorne gezogen und laut TSMC lief der Prozess damals besser als geplant. Ist schon eine Weile her aber ich habe die letzten Monate nichts gegenteiliges gehört.

Da wo ich sie schon immer habe. Von mir aus renn mit dem Kopf durch die Wand aber wir spinnen hier nicht alle rein zufaellig und nur Du weisst etwas dass wir alle nicht wissen. Noch weiter Maxwell top dog ist noch nicht fertig.

Wiederholt: lol. Ich habe nie von Maxwell Topdog gesprochen, sofern das bei dir der große HighEnd Chip >=500mm² ist. ;)

Weil du ja auch voll der Pro bist, der das einschätzen kann. :D

Ich nehme gerne wetten an. :D

S940
2013-10-04, 13:05:43
Zu 16nm müsste man mindestens 1 Jahr draufschlagen. Wie man darauf kommen kann das Nvidia und AMD 20nm auslassen, ist mir schleierhaft.
Na einfach deshalb weil die 2 großen Hersteller ihre Finfetprozesse innerhalb eines Halbjahres nach 20nm raushauen. 20nm pur bringt keine großen Performancevorteile aber doppelte Kosten. Finfets schlagen dann nochmal ca. +30% Performance drauf, bei gleichen Herstellungskosten, teuer ist nur der Finfet-Design-Prozess. Irgendwann muss man aber sowieso auf Finfets gehen, also wieso nicht gleich jetzt.

Aus rein logischen Gesichtspunkten muss man also auf 20nm verzichten.
Aus Marketingsicht kann man aber natürlich trotzdem die Entscheidung fällen auf 20nm zu wechseln. Einfach um Erster zu sein. Aber falls der Konkurrent die Finfet-Strategie anwendet, kann er das Geschäft trotzdem verderben, indem er tolle 16 bzw. 14nm Chips für nur ein paar Monate später verspricht.
Sollte bei TSMC der "20-nm"-Prozeß nicht eigentlich 28 nm mit FinFETs sein?
Ne, aber so ähnlich. 20nm ist bei beiden Fabs neu und "echt". Aber die sogenannten 16nm bzw. 14XM genannten Prozesse bei TSMC/GF sind dann nur 20nm Prozesse mit Finfents. Deswegen nennt es GF auch "XM" und schreibt nicht mehr Nanometer (nm) dran.

Edit: Das passt hier auch noch rein:
http://www.abload.de/img/3d20roadmapazobh.jpg
http://www.eetimes.com/document.asp?page_number=2&piddl_msgpage=3&doc_id=1319679&image_number=1

Falls das mit 16nm im Q4/14 stimmt, sehe ich da keinen Spielraum für 20nm. Bis Q4 taugt 28nm sicherlich noch.

Ailuros
2013-10-04, 13:13:44
Umso besser. Dann wäre der Aufschlag für 20nm noch geringer pro Chip.

Dann fang mal an zu zaehlen wie lange 28HP schon in Massenproduktion liegt.

Dann bleibt wieder die Frage wann "am Anfang" ist. Heute? Gestern? Ende 2013? In dem Falle redet hier und vor allem ich ganz bestimmt nicht von "ganz am Anfang" was deine Aussage wieder uninteressant macht.
TSMC sprach selbst von 30% im Durchschnitt betrachtet über die Laufzeit-nehme ich an.
Schließlich war 28nm """am Anfang""" auch mal n² mal teurer als jetzt. (² bitte beliebige Zahl einsetzen oder beim IHV nachfragen)

Anfang 2014 wird die 20nm Kapazitaet immer noch in der <5% Region liegen. Der Anfang ist unter normalen Umstaenden bei 1%.

Und was ist für die Industrie dort draußen ein "hochkomplexer Chip" ?

Alles ueber 5 Mrd Transistoren.


TMSC hat den 20nm Prozess ein paar Monate nach vorne gezogen und laut TSMC lief der Prozess damals besser als geplant. Ist schon eine Weile her aber ich habe die letzten Monate nichts gegenteiliges gehört.

TSMC kann machen was es will; es wird der Prozess dadurch nicht "besser" und IHVs werden ihre roadmaps auch nicht in letzter Minute aendern. Schon gar nicht fuer ein paar laecherliche Monate Unterschied.

Wiederholt: lol. Ich habe nie von Maxwell Topdog gesprochen, sofern das bei dir der große HighEnd Chip >=500mm² ist. ;)

Du hast auch vom Rest keine besondere Ahnung.

Ich nehme gerne wetten an.

Ich hol schnell einen Besen und wuensch Dir guten Appetit.

Duplex
2013-10-04, 13:20:59
Nein zum letzten :P
Was erwartest du den von GM104?
Die Lücke zwischen GK104 & GK110 schließen oder als Ersatz für GK110 im Desktop?
450mm² mit 512 Bit SI, 25% schneller als GK110 bei gleicher TDP?

Ailuros
2013-10-04, 13:31:00
Was erwartest du den von GM104?
Die Lücke zwischen GK104 & GK110 schließen oder als Ersatz für GK110 im Desktop?
450mm² mit 512 Bit SI, 25% schneller als GK110 bei gleicher TDP?

Dass ein Maxwell performance unter 28nm nicht die Leistung eines 20nm chips erreichen koennte sollte klar sein. Wenn aber theoretisch der performance Maxwell unter 20nm nicht schneller sein sollte als GK110 koennen sie Maxwell auch gleich wieder einstampfen.

Bei 800 MHz und 44 CUs kann das ja auch nichts werden. Es müssten dann 888+ MHz sein ... ich hörte 900 plus Boost.

Ich hab das Gefuehl dass manche von uns zu sicher waren dass die letzte specliste auch wirklich stimmt.

Undertaker
2013-10-04, 13:33:44
Aber falls der Konkurrent die Finfet-Strategie anwendet, kann er das Geschäft trotzdem verderben, indem er tolle 16 bzw. 14nm Chips für nur ein paar Monate später verspricht.

Ein paar Monate später sind wohl deutlich zu optimistisch, denn dann hätte TSMC den Prozess gleich ganz einstampfen können. Die Kosten- und Ausbeute-Frage ist zudem ebenfalls ungeklärt.

Locuza
2013-10-04, 14:10:36
Von S940 seiner Quelle:

http://www.edn.com/contenteetimes/Images/20%20Value.jpg

Source:
http://www.eetimes.com/document.asp?page_number=2&piddl_msgpage=3&doc_id=1319679&image_number=5

Also viel besser erscheinen 20nm gegenüber 28nm HPM dann wirklich nicht.

S940
2013-10-04, 14:22:41
naja man hat aber halt trotzdem doppelt soviel platz dazu Taktraten bisschen senken und schon passt trotzdem,

Könnte man so sehen, aber schauen wir mal die nächste Folien an:
http://www.abload.de/img/1620value3spgw.jpg

Damit nichts verwechselt wird, die +20% Speed bzw. -35% Verbrauch beziehen sich auf den normalen 20nm Prozess, nicht auf 28mm. Deswegen schrieb ich vorher, dass Finfets nochmal ~30% besser sind.

boxleitnerb
2013-10-04, 14:26:30
Gibt es diese Folie auch für 28HPM? Also ein Vergleich 28HPM vs die anderen 28nm Prozesse?

S940
2013-10-04, 14:34:39
Gibt es diese Folie auch für 28HPM? Also ein Vergleich 28HPM vs die anderen 28nm Prozesse?
Gibts vielleicht irgendwo, ich hab aber nichts gesehen.

Nightspider
2013-10-04, 15:23:50
Dann fang mal an zu zaehlen wie lange 28HP schon in Massenproduktion liegt.

Du hast noch immer nicht verstanden, das es mir darum ging, das 20nm im späteren Prozessverlauf nur ein kleiner Aufpreis verlangt im Vergleich zu den Gesamtkosten der Grafikkarte.
Selbst wenn du Mitte 2014 eine ~280mm² GPU her nimmst die ohne 20nm Aufpreis, geschätzt, vielleicht 140,-Euro gekostet hätte und mit 20nm Aufschlag 180 Euro ist das im Vergleich zu den 400-500 Euro die dafür verlangt werden ein Aufpreis der nicht so schwer wiegt, als das man die GPU deswegen nie in 20nm fertigen würde.

Anfang 2014 wird die 20nm Kapazitaet immer noch in der <5% Region liegen. Der Anfang ist unter normalen Umstaenden bei 1%.

Soweit ich mich erinnere fährt Ende 2013 langsam die Massenproduktion hoch und bis Mitte 2014 wären es 6 Monate, an denen am Prozess optimiert werden könnte. AMDs erste 28nm Karten erschienen auch schon im Februar und die großen Chips im März. Ich sage ja nicht das große Chips in utopischen Mengen gleich Anfang 2014 kommen könnten, sondern das es sicherlich den einen oder anderen 20nm bis Jahresmitte geben wird.


Alles ueber 5 Mrd Transistoren.

5Mrd würde man in 20nm auch auf rund 250mm² bekommen. Das sollte technisch bis Mitte 2014 sicherlich drin sein. Abgesehen davon kann man die Nachfrage über den Preis regeln, so wie zu Beginn von 28nm. Das wird Nvidia sicherlich sowieso tun.

TSMC kann machen was es will; es wird der Prozess dadurch nicht "besser" und IHVs werden ihre roadmaps auch nicht in letzter Minute aendern. Schon gar nicht fuer ein paar laecherliche Monate Unterschied.

Wieso Roadmaps ändern? Genaue Roadmaps für einzelne Mobile und Desktop-GPUs gibt es öffentlich nicht. Bisher wissen wir überhaupt nicht was 2014 kommt. Wenn du zu Nvidia Connections hast okay aber die Quellen haben wir andere hier nicht und was AMD vor hat weißt du genauso wenig. Also tu nicht so als würdest du die genauen Roadmaps für jeden Chip kennen.

Abgesehen davon habe ich nirgends etwas von Roadmaps ändern geschrieben. Ich ging primär darauf ein, das es möglich wäre und das ich davon ausgehe das es 20nm GPUs bis Mitte 2014 gibt. Über die Menge, Preise und Verfügbarkeit habe ich absolut nichts gesagt. Vielleicht gibt es bei schlechter Verfügbarkeit nur ~130mm² Chips in 20nm bis dahin. Im mobilen Bereich müssen NV und AMD schließlich mit Intel konkurrieren, welcher immer stärker und effizienter wird.


Du hast auch vom Rest keine besondere Ahnung.

Danke für die Blumen aber du bekleckerst dich ebenfalls nicht mit Ruhm wenn du nach 2 Seiten immer noch nicht verstanden hast das ich nicht von einem >= 500mm² Maxwell gesprochen habe und mir dauernd falsche Aussagen unterstellst.


Ich hol schnell einen Besen und wuensch Dir guten Appetit.

Dir ist schon klar das ich noch nicht einmal gesagt habe wofür ich wetten würde? :rolleyes:
Abgesehen davon würde ich gern mit dir um Geld wetten, wenn du dir so sicher bist.

Ailuros
2013-10-04, 15:46:10
Du hast noch immer nicht verstanden, das es mir darum ging, das 20nm im späteren Prozessverlauf nur ein kleiner Aufpreis verlangt im Vergleich zu den Gesamtkosten der Grafikkarte.
Selbst wenn du Mitte 2014 eine ~280mm² GPU her nimmst die ohne 20nm Aufpreis, geschätzt, vielleicht 140,-Euro gekostet hätte und mit 20nm Aufschlag 180 Euro ist das im Vergleich zu den 400-500 Euro die dafür verlangt werden ein Aufpreis der nicht so schwer wiegt, als das man die GPU deswegen nie in 20nm fertigen würde.

Ich wartete mein ganzes Leben auf Dich damit Du mir erklaerst wie das Ganze funktioniert. Wenn yields beschissen sind dann wird kein IHV sich frueh in die Produktion wagen genauso wie es bei 28nm am Anfang auch der Fall war.

Soweit ich mich erinnere fährt Ende 2013 langsam die Massenproduktion hoch und bis Mitte 2014 wären es 6 Monate, an denen am Prozess optimiert werden könnte. AMDs erste 28nm Karten erschienen auch schon im Februar und die großen Chips im März. Ich sage ja nicht das große Chips in utopischen Mengen gleich Anfang 2014 kommen könnten, sondern das es sicherlich den einen oder anderen 20nm bis Jahresmitte geben wird.

Es aendert gar nichts am obrigen.

5Mrd würde man in 20nm auch auf rund 250mm² bekommen. Das sollte technisch bis Mitte 2014 sicherlich drin sein. Abgesehen davon kann man die Nachfrage über den Preis regeln, so wie zu Beginn von 28nm. Das wird Nvidia sicherlich sowieso tun.

Nur faehrt keiner der beiden IHVs eine neue Generation mit so kleinen chips an. Es kommt bei beiden zumindest ein performance chip als Vorreiter bzw. Vorzeige-Exemplar.

Wieso Roadmaps ändern? Genaue Roadmaps für einzelne Mobile und Desktop-GPUs gibt es öffentlich nicht.

Ja natuerlich planen IHVs nur ein paar Wochen vor jeglichem launch und man entscheidet knapp so einen Monat fuer einen anderen Prozess. Im alleridealsten Fall dauert es 6 Monate und auch ziemlich etwas an resourcen vom einen Prozess auf den anderen zu springen.

Bisher wissen wir überhaupt nicht was 2014 kommt. Wenn du zu Nvidia Connections hast okay aber die Quellen haben wir andere hier nicht und was AMD vor hat weißt du genauso wenig. Also tu nicht so als würdest du die genauen Roadmaps für jeden Chip kennen.

Ich brauch Dir ueberhaupts nicht beweisen.

Abgesehen davon habe ich nirgends etwas von Roadmaps ändern geschrieben. Ich ging primär darauf ein, das es möglich wäre und das ich davon ausgehe das es 20nm GPUs bis Mitte 2014 gibt. Über die Menge, Preise und Verfügbarkeit habe ich absolut nichts gesagt. Vielleicht gibt es bei schlechter Verfügbarkeit nur ~130mm² Chips in 20nm bis dahin. Im mobilen Bereich müssen NV und AMD schließlich mit Intel konkurrieren, welcher immer stärker und effizienter wird.

Aendert auch nichts beim obrigen.

Danke für die Blumen aber du bekleckerst dich ebenfalls nicht mit Ruhm wenn du nach 2 Seiten immer noch nicht verstanden hast das ich nicht von einem >= 500mm² Maxwell gesprochen habe und mir dauernd falsche Aussagen unterstellst.

Lesen kannst Du wohl auch nicht. Ich hab Dich sehr wohl verstanden. Selbst Maxwell performance wird nicht in H1 kommen.

Dir ist schon klar das ich noch nicht einmal gesagt habe wofür ich wetten würde? :rolleyes:
Abgesehen davon würde ich gern mit dir um Geld wetten, wenn du dir so sicher bist.

Ich hab mit Deinem Sandkasten weder etwas zu teilen noch auseinander zu setzen.

Ronny145
2013-10-04, 16:59:07
Na einfach deshalb weil die 2 großen Hersteller ihre Finfetprozesse innerhalb eines Halbjahres nach 20nm raushauen. 20nm pur bringt keine großen Performancevorteile aber doppelte Kosten. Finfets schlagen dann nochmal ca. +30% Performance drauf, bei gleichen Herstellungskosten, teuer ist nur der Finfet-Design-Prozess. Irgendwann muss man aber sowieso auf Finfets gehen, also wieso nicht gleich jetzt.


Vorsicht bei TSMC Roadmaps oder Fertigungs Roadmaps im Allgemeinen. Da kann man locker 1 Jahr draufschlagen. Wenn es nach der TSMC Roadmap geht, ist 20nm seit Ende 2012 verfügbar. Ob und wann GPUs damit gefertigt werden und im Handel stehen, ist eine völlig andere Frage. +1 Jahr ist das realistische Minimum an dem man mit 16nm rechnen könnte. Bedeutet nicht vor Ende 2015.

http://s1.directupload.net/images/131004/ppc5cgpb.jpg

Screemer
2013-10-04, 17:07:48
wie schon gesagt, ist 16nm bei tsmc aber 20nm+finfet. könnte in dem fall also zügiger gehen als bei den nodes davor.

Ronny145
2013-10-04, 17:16:15
wie schon gesagt, ist 16nm bei tsmc aber 20nm+finfet. könnte in dem fall also zügiger gehen als bei den nodes davor.


1 Jahr wäre doch schon deutlich zügiger als davor. An 28nm hängen wir schon bald seit 2 Jahren fest. Damals hat auch keiner mit gerechnet, dass es 2,5-3 Jahre bis zum nächsten shrink dauert. Diese Roadmaps sind mit großer Vorsicht zu genießen.

Akkarin
2013-10-04, 17:59:18
Es gab ja auch gerüchte über 28nm FDSOI. Ist inzwischen irgendwie bekannt wo gefertigt wird ? HP, HPM, Glofo Bulk, FDSOI ?

S940
2013-10-04, 18:22:25
Vorsicht bei TSMC Roadmaps oder Fertigungs Roadmaps im Allgemeinen. Da kann man locker 1 Jahr draufschlagen. Wenn es nach der TSMC Roadmap geht, ist 20nm seit Ende 2012 verfügbar.
Das ist doch kein Problem, das ist schlicht die Zeit, ab der der Designkit fertig ist, wodurch dann Tapeouts möglich sind. Sieht man doch schön an der nächsten Folie:

http://www.abload.de/img/tapeoutspvbwa.jpg

Tapeouts für 16nm gibts also um Q4/13, damit haben sie ca. 1 Jahr Zeit ne GPU auf die Beine zu stellen. Da ist jetzt nur die große Frage, ob AMD/nV sich traut gleich nen großen Chip fertigen zu lassen, aber möglich wärs locker flockig, nach dem Tapeout braucht es max. 6 Monate bis an der Fab die Chips hinten rausfallen, für nen Verkauf Ende Q4 hätte man also 1-2 Quartale Zeit zur Produktion.

Duplex
2013-10-04, 18:51:29
Die HD7000 ist fast 2 Jahre alt, es gab nach 1 Jahr kein Refresh.
Wenn 16nm TSMC mitte 2015 als Massenfertigung Verfügbar ist, dann kann man 20nm im GPU Markt überspringen.

Ronny145
2013-10-04, 18:59:01
Erstens schieben sich die Termine immer nach hinten und zweitens schau mal wo 20nm eingeordnet ist. Von 20nm sehe ich auf den Markt noch nicht viel, was gibt es denn da überhaupt? Volume Production von 20nm soll erst Anfang 2014 beginnen, was auch erstmal einzuhalten gilt. Vor H2 2014 kann man Performance und Highend vergessen. Es ist immer dasselbe, das ganze wird zu optimistisch interpretiert. Rückblickend auf die 20nm Einschätzungen vor 2 Jahren das gleiche. Wenn am Ende wirklich nur 1 Jahr zwischen 20nm und 16nm liegt, wäre das schon eine Leistung.

S940
2013-10-04, 19:04:35
Erstens schieben sich die Termine immer nach hinten und zweitens schau mal wo 20nm eingeordnet ist. Von 20nm sehe ich auf den Markt noch nicht viel, was gibt es denn da überhaupt? Volume Production von 20nm soll erst Anfang 2014 beginnen, was auch erstmal einzuhalten gilt. Vor H2 2014 kann man Performance und Highend vergessen. Es ist immer dasselbe, das ganze wird zu optimistisch interpretiert. Rückblickend auf die 20nm Einschätzungen vor 2 Jahren das gleiche. Wenn am Ende wirklich nur 1 Jahr zwischen 20nm und 16nm liegt, wäre das schon eine Leistung.Du magst da schon ein Argument haben, dass man oft zu optimistisch ist. Aber der Falle von 20<>16 ist ne Ausnahme, da 16 eben einfach 20+Finfets ist.

Läuft also 20nm erstmal an, dann steht das Grundgerüst für 16 schon bereit. Sowas in der Art gabs bisher noch nie. Am ehesten könnte mans noch mit den unterschiedlichen Versionen HP,LP,HPM etc. einer Node vergleichen. Eigentlich sollte man den 20nm und den 16nm Prozess eher als 20LP und 20HP bezeichnen. Wäre zwar auch nicht astrein, aber träfe es allemal besser. Aber naja .. GF ist vorgeprescht, da ist es schon ok, wenn TSMC das gleiche Zahlentuning macht.

Zergra
2013-10-04, 19:17:28
Die HD7000 ist fast 2 Jahre alt, es gab nach 1 Jahr kein Refresh.
Wenn 16nm TSMC mitte 2015 als Massenfertigung Verfügbar ist, dann kann man 20nm im GPU Markt überspringen.
Könnte man ja, aber AMD und NV würden viel mehr verdienen, wenn sie den Kunden weiter Beuteln und ihnen dann noch die veraltete 20nm Fertigung andrehen :D

CosmiChaos
2013-10-05, 18:25:41
Ich seh kein Problem damit dass NV via TSMC den HighEnd-Bereich mit 20nm früher füttert als noch bei 28nm. Also durchaus zum Vergleich einen extrem überteuerten TITAN-Nachfolger noch in bis spätestens zum Ende Q2/2014 ins Rennen schickt.

Ich finde es bemerkenswert wie aus "einem Patzer in der Vergangenheit" dann gleich davon ausgegangen wird, dass dies die Norm sei. Wenn diese Unternehmen allgemein damit rechnen würden, dass ihre Roadmaps generell nur Hirngespinste sind, dann wären sie schon pleite.

Im Gegenteil ist es doch praktisch so, dass diese Zielsetzungen in der Vergangenheit ziemlich zuverlässig gewesen sind und alle Beteiligten alles daran setzen dies auch zu halten. Wer hier glaubt es würde von Nvidia in Q2/2014 keine HighEnd-20nm-Produkte geben der spekuliert auf dünnem Eis.

Natürlich ist Maxwell/Denver schon allein von der technischen geringfügigen hervorgedrungenen Details sehr gewagt, völlig abgesehen davon obs in 16/20/28 daherkommt. Letztendlich kann man den DIE-Shrink in % auch nicht einfach auf die Energieeinsparung runterbrechen ala Dreisatz. Zwar ist 20nm um 40% kleiner als 28nm, aber eben nich so energiesparsam... und das ist das entscheidende wenn man große Leistungsstarke CHips baut. Leistungs- bzw. Temperaturlimit. Außerdem will man die DoublePrecion-Leistung vervielfachen, wobei man die doch grad so schön mit Kepler weggespart hat, weil für Gaming bis dato relativ irrelant ... was allgemeinhin echt schade für die bisherigen Raytracingerfolge ist, die selbst noch auf Fermi besser abgingen.

Ich bin auf jedenfall auf Maxwell gespannt. Und ich würde mich arg freuen wenn es da mehr Infos geben würde, aber die News diesbezüglich sind ziemlich ausgedünnt.

Botcruscher
2013-10-05, 19:22:48
Ich finde es bemerkenswert wie aus "einem Patzer in der Vergangenheit" dann gleich davon ausgegangen wird, dass dies die Norm sei. Wenn diese Unternehmen allgemein damit rechnen würden, dass ihre Roadmaps generell nur Hirngespinste sind, dann wären sie schon pleite.

Einer? Mal die jüngsten:
40nm lief richtig beschissen und die 4770 war quasi 8 Monate kaum lieferbar. Cypress mit ein paar Exemplaren zum Start. Dann lange nichts lieferbar. Nach Monaten gab es dann von NV die Holzschrauben. 28nm total überteuert und kaum lieferbar, geringes Volumen...
Hope is the first step to disappointment.

StefanV
2013-10-05, 20:26:59
Ich finde es bemerkenswert wie aus "einem Patzer in der Vergangenheit" dann gleich davon ausgegangen wird, dass dies die Norm sei. Wenn diese Unternehmen allgemein damit rechnen würden, dass ihre Roadmaps generell nur Hirngespinste sind, dann wären sie schon pleite.
1. kam das in letzter Zeit häufiger vor. Also eigentlich immer, bei neuen Prozessen.
2. Werden neue Prozesse nicht unbedingt einfacher...


Im Gegenteil ist es doch praktisch so, dass diese Zielsetzungen in der Vergangenheit ziemlich zuverlässig gewesen sind und alle Beteiligten alles daran setzen dies auch zu halten. Wer hier glaubt es würde von Nvidia in Q2/2014 keine HighEnd-20nm-Produkte geben der spekuliert auf dünnem Eis.
Warum?
Ist ja nicht so, dass die neuen Prozesse am Anfang besonders gut waren. Aber das ist eigentlich auch die Regel. Anzunehmen, dass ein Prozess, der gerade angefahren wird, schon gut läuft, ist einfach falsch...


Die Wahrheit ist, dass es immer schwieriger wird, die kleineren Strukturen in Griff zu bekommen. Entsprechend braucht man auch mehr Zeit dafür. Das heißt im Klartext, dass man schon so 3-6 Monate mit richtig beschissenen Yields rechnen muss...

Aber hey, 20% Yield ist halt toll, das muss man sich unbedingt antun...

Entsprechend reichhaltig wird auch die Liefermenge sein...

prinz_valium
2013-10-06, 09:19:13
war ja irgenwie klar das ganze.
warum sollten die kleinen prozesse auch plöztlich besser anlaufen als die alten



erstaunlich finde ich aber, was man noch alles aus 28nm hpm rausholen kann.
da habe ich aber auch absolut nicht gegen. denn dann gibts nicht wieder peformance chips zu highend + preisen, was unter einem frühen 20nm zu 99% wieder der fall wäre

und wenn die technischen vorteile von 20nm was effizienz etc angeht, eh relativ gering ausfallen, dann ist mir das auch ganz recht so.
also wegen mir gerne nur eine (halbe) gen 20nm und dann gleich 20nm finfet

boxleitnerb
2013-10-06, 09:37:16
Da ich das noch nicht so ganz verstanden habe:

Welchen 28nm Prozess nutzen Kepler und Tahiti, und wo genau liegt der Unterschied zwischen diesem Prozess und 28HPM? Das M steht ja für mobil - kann man den Prozess trotzdem für große GPUs im 200+ Watt Bereich nutzen und wenn ja, wieso?
Laut TSMC war 28HP der erste 28nm-Prozess, 28HPM ging erst Ende 2011 in risk production - zu spät für NV und AMD. Die Frage ist, warum man nicht spätere GPUs wie GK110 oder Bonaire in 28HPM gemacht hat?

prinz_valium
2013-10-06, 10:24:03
also der xbox one chip ist ja auch hpm und ein sehr großer chip.
allerdings keine 200 watt.

ich wüsste aber nicht, was dagegen sprechen sollte.
warum gk110 und bonaire nicht auf hpm erstellt wurden?
gk110 ist doch schon ein jahr alt


der erste 28nm hpm chip war/ist der snapdragon 800
den gibt es erst ein gutes viertel jahr

boxleitnerb
2013-10-06, 11:19:17
Und 28HPM war früher nicht bereit? Oder ist der Snapdragon 800 spät?

Ailuros
2013-10-07, 15:09:13
Es gibt eine 28LP Variante vom S800 und eine S800AB Variante unter 28HPM; die letzte ist noch ziemlich frisch und ist erst beim ausrollen fuer groessere Herstellungsmengen fuer Qualcomm's Partner. Afaik wird QCOM bei 28HPM fuer ihre SoCs fuer fast das Ganze 2014 bleiben und erst spaet in 2014 oder Anfang 2015 auf 20LP steigen.

Mir ist nichtmal eine neue Revision unter 28HP fuer GK110 bekannt; von HPM ganz zu schweigen. Nebenbei waere ich ziemlich enttaeusscht wenn Hawaii nicht auf 28HPM waere.

Gipsel
2013-10-07, 15:26:34
Afaik wird QCOM bei 28HPM fuer ihre SoCs fuer fast das Ganze 2014 bleiben und erst spaet in 2014 oder Anfang 2015 auf 20LP steigen.TSMC hat 20LP (und auch 20G) gestrichen. Es wird nur einen einzigen 20nm Prozeß geben: 20SoC. Sagen zumindest die neueren Verlautbarungen von TSMC und wenn man 16FF nicht als 20+FF bezeichnen will.

Ailuros
2013-10-07, 15:29:03
TSMC hat 20LP (und auch 20G) gestrichen. Es wird nur einen einzigen 20nm Prozeß geben: 20SoC. Sagen zumindest die neueren Verlautbarungen von TSMC und wenn man 16FF nicht als 20+FF bezeichnen will.

Und der "20SoC" ist der vorige "20HP" oder?

boxleitnerb
2013-10-07, 15:29:46
Weiß jemand nun eigentlich bitte eine Antwort auf meine Frage(n) aus Post 53? :)

Gipsel
2013-10-07, 15:30:18
Und der "20SoC" ist der vorige "20HP" oder?Angeblich irgendwas zwischen HP und LP, aber keine Ahnung, wieviel Marketing dabei ist. Da sie nur einen Prozeß anbieten, müssen sie es ja notgedrungen so verkaufen, daß damit beides möglich ist.

Ailuros
2013-10-07, 15:44:11
Angeblich irgendwas zwischen HP und LP, aber keine Ahnung, wieviel Marketing dabei ist. Da sie nur einen Prozeß anbieten, müssen sie es ja notgedrungen so verkaufen, daß damit beides möglich ist.

Ist eigentlich 28HPM nicht so ein Prozess oder irre ich mich da? Wenn ja dann wird dieser 20SoC keine Sparte in besonderen Nachteil stellen.

Da ich das noch nicht so ganz verstanden habe:

Welchen 28nm Prozess nutzen Kepler und Tahiti, und wo genau liegt der Unterschied zwischen diesem Prozess und 28HPM? Das M steht ja für mobil - kann man den Prozess trotzdem für große GPUs im 200+ Watt Bereich nutzen und wenn ja, wieso?
Laut TSMC war 28HP der erste 28nm-Prozess, 28HPM ging erst Ende 2011 in risk production - zu spät für NV und AMD. Die Frage ist, warum man nicht spätere GPUs wie GK110 oder Bonaire in 28HPM gemacht hat?

Gipsel hat vielleicht seine vorigen Beitraege mit seinen ausfuehrlichen Erklaerungen fuer 28HPM irgendwo gespeichert. GK110 kam im September 2012 in die Massenproduktion und 28HPM war zu dem Zeitpunkt noch nicht produktionsreif. Das mit der "risk production" ist ein massives Missverstaendnis auf das viele leicht reinfallen. Unter normalen Umstaenden dauert es mehr als ein Jahr von risk production zur Massenproduktion.

Gipsel
2013-10-07, 15:46:25
Da ich das noch nicht so ganz verstanden habe:

Welchen 28nm Prozess nutzen Kepler und Tahiti,28HP.
und wo genau liegt der Unterschied zwischen diesem Prozess und 28HPM? Das M steht ja für mobil - kann man den Prozess trotzdem für große GPUs im 200+ Watt Bereich nutzen und wenn ja, wieso?Wieso nicht?
Ein Prozeß gibt Dir ja nur die Parameter der Transistoren und entsprechende Layout-Regeln. Nirgendwo steht geschrieben, welche Art von Chips du damit bauen darfst. Man kann auch eine riesige GPU im LP Prozess zusammenschustern, nur wird die Performance nicht konkurrenzfähig sein sein.
Was ist jetzt HPM? Im Prinzip ist es der HP-Prozeß mit Feintuning, der wahlweise schnellere Transistoren als HP erlaubt, oder auch wahlweise weniger Verbrauch bei gleicher Geschwindigkeit (oder auch deutlich weniger Verbrauch bei etwas niedriger Geschwindigkeit). Man hat den "Designspace" sowohl nach oben aber vor allem auch nach unten erweitert und insgesamt den Kurvenverlauf von Schaltgeschwindigkeit über Verbrauch etwas höher gedrückt. Es ist also schlicht der beste Prozeß von TSMC (und auch der teuerste der 28nm Generation).
Unter HPM kann man auch unter mehr Schwellspannungen wählen als bei den anderen Prozessen. Über die Schwellspannung wählt man praktisch aus, wie schnell jeder einzelne Transistor in einen Chip schalten können soll (niedrige Schwellspannung => schneller Transistor aber hoher Verbrauch, hohe Schwellspannung => langsamer Transistor aber niedriger Verbrauch). Das kann wirklich für jeden einzelnen Transistor getrennt gewählt werden. Hat man da mehr Abstufungen, kann man ein Design bei gleichem Takt sparsamer gestalten oder auch bei gleichem Verbrauch schneller. Das kommt also zu den Vorteilen der Transistoren an sich noch hinzu.

In der Summe bringt HPM gegenüber den vorherigen Prozessen insbesondere wenn man powerlimitiert ist (z.B. Smartphonechips, bei GPUs gibt es da keine Aussagen zu) wohl beinahe genauso viel wie der Sprung auf 20nm.
Laut TSMC war 28HP der erste 28nm-Prozess, 28HPM ging erst Ende 2011 in risk production - zu spät für NV und AMD. Die Frage ist, warum man nicht spätere GPUs wie GK110 oder Bonaire in 28HPM gemacht hat?Für HPM müßte man alle Einheiten auf dem Chip nochmal komplett neu simulieren, um wirklich Vorteile daraus zu ziehen, eventuell das sogar das mit einem Testchip testen. Das dauert. Außerdem ist HPM schlicht teurer als HP, das muß man also in Relation zu den Vorteilen setzen. Diese Abwägung fällt bei GPUs eventuell anders aus als bei Smartphonechips, ohne Details kann man dazu nicht viel sagen.
Aber z.B. soll ja der XB1 Chip angeblich in HPM produziert werden, größere Chips (sind ja immerhin 363mm²) gehen also sehr wohl.

Gipsel
2013-10-07, 15:51:18
Das mit der "risk production" ist ein massives Missverstaendnis auf das viele leicht reinfallen. Unter normalen Umstaenden dauert es mehr als ein Jahr von risk production zur Massenproduktion.Ja, am Anfang der Risk-production hast Du ein großes Risiko für wirklich mickrige Chips (wenige zehn mm²) oder man benötigt wirklich sehr einfache, redundante Strukturen (SRAM, FPGAs), um da überhaupt irgendwas Sinnvolles machen zu können. Da wird noch kräftig an der Herstellung getuned. Die Yields für eine große GPU (>300mm²) wären da vermutlich locker im einstelligen Prozentbereich. Es kann sinnvoll sein, ein Design für den Prozeß zu testen, in dem man eine Handvoll Wafer mit einem Testchip ordert, mit dem man das Timing bzw. seine Simulationen wesentlicher Elemente seines Designs überprüfen kann. Aber was Produktionsreifes wird da nicht raus kommen. Je größer der Chip, desto länger dauert das normalerweise von Beginn der Produktion, bis es wirklich nutzbar wird.

boxleitnerb
2013-10-07, 15:53:40
Danke :)

Wuge
2013-10-07, 20:45:21
Sehr interessant, Gipsel.

Rein interessehalber... Kannst Du in etwa sagen, wie weit (%ual) der niedrigste und der höchste Takt eines Transistors je nach gewählter Schwellspannung ist? Spricht man da von 10, 20% oder deutlich mehr?

Ailuros
2013-10-08, 11:02:02
http://www.cadence.com/Community/blogs/ip/archive/2013/10/02/tsmc-28hpm-sweet-spot-for-today-s-mobile-socs.aspx

According to TSMC, their 28nm technology “delivers twice the gate density of the 40nm process and also features an SRAM cell size shrink of 50 percent”. Moreover, the 28HPM process (High Performance Mobile) “can provide better speed than 28HP and similar leakage power as 28LP.”

"Better" schoen und gut, aber es fehlt eine spezifischere offizielle Angabe. Als persoenliche Schaetzung wuerde ich schon bis zu 20% mehr gate density wagen.

captain_drink
2013-10-25, 00:44:24
http://www.xbitlabs.com/news/other/display/20131022230815_TSMC_Shares_More_Details_Regarding_16nm_FinFET_and_20nm_Progress. html

Ist das neu oder schon bekannt? Risikoproduktion von 16nm FinFET Ende dieses Jahres, heißt es dort.

Ronny145
2013-10-25, 01:04:06
“We will begin volume production of 20nms in the first quarter 2014. That's 90 days from now. 16nm will follow 20nm in one year. We view both 20nm and 16nm as virtually one node,” said Morris Chang, chief executive officer and chairman of TSMC, during a conference call with financial analysts.

Over 25 products will be manufactured using 16nm FinFET process technology, which it calls CLN16FF, in 2015. Volume production is expected to commence sometimes in Q1 2015.



Finfet innerhalb einen Halbjahres nach 20nm hat sich schonmal erledigt....wie überraschend. Ich wette das wird sich wie so oft noch weiter auseinanderziehen. Das läuft auf (frühestens) H2 2015 raus. Wenn AMD und Nvidia wirklich 20nm überspringen wollen, müssten sie von jetzt an etwa 2 weitere Jahre auf 28nm ausharren.

prinz_valium
2013-10-25, 01:23:22
dann wird 20nm nicht übersprungen, sondern einfach alles verschoben

aber schade. hatte auf einen schnellen wechsel auf 20nm finfet gehofft

Ailuros
2013-10-25, 08:00:27
Damit ich es nicht vergesse: Damien Triolet @hardware.fr erwaehnt in seinem 290X review dass er glaubt dass Hawaii auf 28HPL hergestellt wurde. Tippfehler und er meinte eigentlich HPM oder vielleicht doch HPL?

dann wird 20nm nicht übersprungen, sondern einfach alles verschoben

aber schade. hatte auf einen schnellen wechsel auf 20nm finfet gehofft

Ich versteh jetzt nicht was Du mit dem obrigen meinst. TSMC gibt doch selber an dass sie 20SOC und 16 FinFET als einen node ansehen; ich hab bis jetzt den Eindruck dass das letzte nichts anderes ist als 20 + FinFET; was verpass ich gerade?

prinz_valium
2013-10-25, 12:56:49
Ich versteh jetzt nicht was Du mit dem obrigen meinst. TSMC gibt doch selber an dass sie 20SOC und 16 FinFET als einen node ansehen; ich hab bis jetzt den Eindruck dass das letzte nichts anderes ist als 20 + FinFET; was verpass ich gerade?

nö du hast doch recht.
und deswege nenne ich es 20nm FinFet, weil es einfach eundeutiger so ist.
und weil es der gleiche node ist, hatte ich (und viele andere) gehofft, dass man schneller von 20nm planar auf 20nm FinFet kommt, als von 28 auf 20

Ronny145
2013-10-25, 13:18:40
Schneller wird der Wechsel wohl vonstatten gehen, nur eben nicht so schnell wie von einigen erwartet (weniger als ein halbes Jahr). TSMC selber spricht von einem Jahr zwischen Volume Produktion 20nm-->16nm und keiner kann versichern, ob es dabei bleibt und nicht doch Probleme mit finfet auftauchen, die zu einer weiteren Verzögerung führen. 20nm GPUs hat man vor 2 Jahren auch nicht erst in H2 2014 erwartet. Wäre zwar zu wünschen wenn diesmal alles nach Plan läuft, was leider nicht die Norm ist. Im übrigen hat AMD 20nm als nächsten Schritt im letzten Conference Call bestätigt.


Lisa Su - Senior Vice President and General Manager, Global Business Units

We will do 20 nanometer first, Hans and then we will go to FinFETs.

Ailuros
2013-10-25, 13:34:28
Selbst wenn keine Probleme auftauchen sollten, wird 16 FinFET beim seinem angeblichen start Anfang 2015 wohl so verdammt teuer sein dass es wohl keiner so leicht beruehren wird.

Im allerbesten Fall wenn die 20SOC Produktion langsam ab H2 2014 loslegt, geht es dann mit 16 FinFET erst ab H2 2015 wohl los (wenn alles nach Plan laeuft).

Duplex
2013-10-25, 13:40:39
Der 20nm Prozess sollte in 1 Jahr für eine neue Serie ausreichend sein, beim Top Modell kann ich mir vorstellen das man einfach Hawaii um 20 CUs erweitert, 64 CUs = 4096 Shader, mehr Speichertakt & 200W TDP.

AffenJack
2013-10-25, 13:49:50
Selbst wenn keine Probleme auftauchen sollten, wird 16 FinFET beim seinem angeblichen start Anfang 2015 wohl so verdammt teuer sein dass es wohl keiner so leicht beruehren wird.

Im allerbesten Fall wenn die 20SOC Produktion langsam ab H2 2014 loslegt, geht es dann mit 16 FinFET erst ab H2 2015 wohl los (wenn alles nach Plan laeuft).

Wenigstens wird 16 Finfet dafür wenigstens auch größere Vorteile bringen. 20nm planar ist einfach ne Totgeburt, teuer und nicht leistungsfähig. Die geplanten Tapeouts auf der Folie der letzten Seite zeigt doch schon dass der Großteil der Industrie den Note überspringt.

Und beim Preis wäre ich mir auch nicht so sicher, 16 Finfet benutzt ja das meiste von 20nm. Da könnte 16 Finfet Anfang 2015 durchaus billiger werden als 20nm Anfang 2014.

Ailuros
2013-10-25, 13:59:48
Wenigstens wird 16 Finfet dafür wenigstens auch größere Vorteile bringen. 20nm planar ist einfach ne Totgeburt, teuer und nicht leistungsfähig. Die geplanten Tapeouts auf der Folie der letzten Seite zeigt doch schon dass der Großteil der Industrie den Note überspringt.

Fuer 2014 sieht es fuer AMD/NV und ihren GPU chips schwer aus etwas anderes zu benutzen oder?

Und beim Preis wäre ich mir auch nicht so sicher, 16 Finfet benutzt ja das meiste von 20nm. Da könnte 16 Finfet Anfang 2015 durchaus billiger werden als 20nm Anfang 2014.

Na hoffentlich.

Gipsel
2013-10-25, 14:10:33
Und beim Preis wäre ich mir auch nicht so sicher, 16 Finfet benutzt ja das meiste von 20nm. Da könnte 16 Finfet Anfang 2015 durchaus billiger werden als 20nm Anfang 2014.Absolut wird es aber immer teurer bleiben als 20nm und auch keine höhere Integrationsdichte erlauben.

prinz_valium
2013-10-25, 14:47:06
mal eine frage. 20nm (16nm) finfet wird ja nur das frontend of line in der kleinen strukturgröße mit 3d transitoren bekommen. wie viel platz macht denn das fol aus vom gesamt chip im gegensatz zu dem mol und bol?

Gipsel
2013-10-25, 15:07:39
mal eine frage. 20nm (16nm) finfet wird ja nur das frontend of line in der kleinen strukturgröße mit 3d transitoren bekommen. wie viel platz macht denn das fol aus vom gesamt chip im gegensatz zu dem mol und bol?Platz? Da das übereinander liegt, wird der benötigte Platz genauso groß sein, wie bei 20nm Chips mit planaren Transistoren. Die 16FF sind reines Marketing, das sind in Wahrheit 20nm mit FinFets (genau wie GFs 14XM).

prinz_valium
2013-10-25, 15:24:06
falsch verstanden. ich meine den relativen platzanteil des fol von der gesamtfläche des chips. egal in welcher fertigung nun

also nicht die größe des chips in mm
da ist mir klar, dass man dort nichts einspart.


oder kann ich es mir so vorstellen
fol obester schicht
mol mittlere
bol untere?
dann hätten wir also genau 1/3
und die oberste schicht in platzsparenden "16nm"
und die unteren beiden normal in 20nm


aber deswegen frage ich ja, wie viel das front end eines chips x ausmacht. ich hab echt 0 ahung von chip architekur im detail.
ich hoffe ihr versteht nun, was ich wissen will :)

Gipsel
2013-10-25, 15:39:23
Das meinte ich damit, daß es übereinander liegt. Das hat auch nicht mit der Chiparchitektur zu tun, das sind Begriffe aus der Fertigung.
Das Front end of Line beschäftigt sich damit, das Silizium entsprechend strukturiert zu dotieren und die eigentlichen Transistoren herzustellen. Das passiert direkt auf dem Silizium-Wafer. Obendrüber kommen dann die Kontaktierungen und Verbindungen der Transistoren untereinander (die metal layer, sind heutzutage bei komplexen Chips mehr als 10). Die Herstellung dieser nennt man typischerweise back end of line. Also bei der Herstellung sind die Transistoren (FEOL) die unterste Schicht, das BEOL stellt die Schichten darüber her. Bei der typischen Flipchip-Montage dreht man dann die Dies um und lötet die mit den an definierten Stellen des obersten metal layers (bzw. den auf der obersten Schicht bestehenden Kontaktflächen bzw. kleinen Kontaktierungskügelchen) auf das Substrat.
Wenn Du also einen fertigen Chip siehst, schaust Du auf das blanke Silizium (manchmal noch mit einer dünnen Schicht bedampft). Die ist meist oft um einen halben Millimeter dick (kann aber auch weniger sein), danach kommen dann die Transistoren, dann die metal layer und dann das Substrat. Chipworks (oder anderer Firmen) können durch sehr kontrolliertes Wegschleifen (bzw. Polieren) die Siliziumschicht soweit abdünnen bzw. abtragen, daß man irgendwann die Transistoren sieht. So ist z.B. das relativ hochauflösende Bild des Tahiti-Dies entstanden.

Und um das nochmal zu wiederholen, bei 16FF spart man genau Null Platz gegenüber 20nm planar. Der contacted gate pitch dürfte identisch sein. Höchstens werden die Layout-Regeln minimal anders ausfallen.

Edit:
Hier mal eine Bild zur Veranschaulichung:

http://smtbook.com/images/layers.gif

Duplex
2013-10-25, 15:57:15
Und um das nochmal zu wiederholen, bei 16FF spart man genau Null Platz gegenüber 20nm planar. Der contacted gate pitch dürfte identisch sein. Höchstens werden die Layout-Regeln minimal anders ausfallen.
Interessante Aussage!
Wäre es dann nicht sinnvoller wenn man sich mit 20nm auf einen breiten Chip mit vielen Einheiten konzentriert, kein hoher GPU Takt wegen der Leistungsaufnahme, mit FF (16nm) könnte man evtl. 1 Jahr später ein Refresh mit 30% mehr Takt vorstellen.

Ailuros
2013-10-25, 18:22:37
Interessante Aussage!
Wäre es dann nicht sinnvoller wenn man sich mit 20nm auf einen breiten Chip mit vielen Einheiten konzentriert, kein hoher GPU Takt wegen der Leistungsaufnahme, mit FF (16nm) könnte man evtl. 1 Jahr später ein Refresh mit 30% mehr Takt vorstellen.

Interessant? Es klingt fuer die naechsten 2 Jahre nach einem tollen Herstellungs-disaster.

Duplex
2013-10-25, 20:16:52
Es klingt fuer die naechsten 2 Jahre nach einem tollen Herstellungs-disaster.
Übertreib mal nicht, warum so pessimistisch?
Solange die Fläche in 20nm kleiner gegenüber 28nm ausfällt sehe ich kein Problem, die Leistungsaufnahme wird ja nicht höher als 28nm ausfallen.
Wenn man direkt einen großen Chip in 20nm baut, 64 bis 72 CUs, dann wird halt der GPU Takt niedriger ausfallen, 700-750MHz.
Später wenn FF Massentauglich wird kann man ein Respin auf die neue Fertigung machen, dann kann man den Chip evtl. mit 20FF (16nm) 30% mehr takten.

Ich kann mir vorstellen das man bereits ein 20nm Test Chip im Labor hat, entweder eine kleine GPU oder APU.

prinz_valium
2013-10-26, 01:14:48
...

okay danke. ich kenne meinen denkfehler nun
ich dachte immer frontend backend etc wären bestimmte einheiten.

also frontend tmus und rops. midend die gpc und backend dann die speicheranbindung oder so.
es wurde ja zum thaiti chip immer gesagt, dass dieser ein zu kleines frontend hat, das limitiert und die neue 920x ein 4faches hat...

Ailuros
2013-10-26, 08:16:20
Übertreib mal nicht, warum so pessimistisch?
Solange die Fläche in 20nm kleiner gegenüber 28nm ausfällt sehe ich kein Problem, die Leistungsaufnahme wird ja nicht höher als 28nm ausfallen.

Hast Du TSMC's eigene slides schon gesehen die 28HPM mit 20SOC vergleichen? Jetzt frag Dich mal wieso Qualcomm z.B. nichts vom zweiten wissen will.

Wenn man direkt einen großen Chip in 20nm baut, 64 bis 72 CUs, dann wird halt der GPU Takt niedriger ausfallen, 700-750MHz.
Später wenn FF Massentauglich wird kann man ein Respin auf die neue Fertigung machen, dann kann man den Chip evtl. mit 20FF (16nm) 30% mehr takten.

Ich kann mir vorstellen das man bereits ein 20nm Test Chip im Labor hat, entweder eine kleine GPU oder APU.

Und das soll mich jetzt optimisticher machen? :rolleyes:

ndrs
2013-10-26, 09:49:33
ich dachte immer frontend backend etc wären bestimmte einheiten.
Damit hast du auch nich unrecht. Die Begriffe gibt es in vielen Bereichen und geben einfach nur an in welcher Reihenfolge etwas abgearbeitet wird. Genausogut könnte ich sagen, dass das Frontend die Küche, das Midend der Esstisch und das Backend die Toilette sind :D
In diesem Thread geht es aber eher um die Fertigungsschritte als um die GPU-Architektur.

Nakai
2013-10-26, 12:23:27
Afair, wird das eh ein Problem werden.
http://www.tsmc.com/english/dedicatedFoundry/technology/20nm.htm

TSMC's 20nm process technology can provide 30 percent higher speed, 1.9 times the density, or 25 percent less power than its 28nm technology. TSMC 20nm technology is the manufacturing process behind a wide array of applications that run the gamut from tablets and smartphones to desktops and servers.

1.9fache Dichte und 30% höhere Geschwindigkeit oder 25% weniger Stromverbrauch, je nachdem auf was die Architektur. Das sind zwar nur TSMC-Prognosen, aber mal gucken wie fertige Produkte aussehen. Man könnte zwar deutlich mehr Transistoren/Einheiten verbauen, muss diese jedoch niedriger takten, da der Stromverbrauch und Hitze wohl sonst explodieren. Intels 22nm-Prozess zeigt irgendwie schon Tendenzen in diese Richtung. Man wird in Zukunft mehr Probleme mit Hitze und Stromverbrauch bekommen. Finfets werden da wohl nicht soviel daran ändern.

€:
@Gipsel:
Platz? Da das übereinander liegt, wird der benötigte Platz genauso groß sein, wie bei 20nm Chips mit planaren Transistoren. Die 16FF sind reines Marketing, das sind in Wahrheit 20nm mit FinFets (genau wie GFs 14XM).

Für mich klingt das nach noch mehr Problemen. Die Kosten sind dieselben, der Platzverbrauch auch. Nur der Chip wird dementsprechend kleiner, wenn das Layout gut angepasst ist. Das bringt aber noch mehr Probleme mit Hotspots und Stromverbrauch. Das wird ein nettes Desaster.^^ Ich denke bei der CPU-Fertigung werden wir hier mehr Probleme sehen, als in Bereichen, wo Chipbereiche gut skalierbar sind(GPU, Speicher). Kurz, breitere Chips, dafür Takt runter um den Stromverbrauch im Zaum zu halten.

Ronny145
2013-10-26, 12:33:30
Wenn das nur Theoriewerte sind und nicht real gemessene Werte an 20nm ES Hardware müsste man davon sicherlich einiges von der Prognose abziehen.

Gipsel
2013-10-26, 12:39:45
Für mich klingt das nach noch mehr Problemen. Die Kosten sind dieselben, der Platzverbrauch auch. Nur der Chip wird dementsprechend kleiner, wenn das Layout gut angepasst ist.Die Kosten sind höher und es wird eben gerade nicht kleiner, zumindest nicht wesentlich. Es wird nur schneller bzw. stromsparender.

Ailuros
2013-10-26, 15:17:15
Afair, wird das eh ein Problem werden.
http://www.tsmc.com/english/dedicatedFoundry/technology/20nm.htm



1.9fache Dichte oder 30% höhere Geschwindigkeit oder 25% weniger Stromverbrauch, je nachdem auf was die Architektur. Das sind zwar nur TSMC-Prognosen, aber mal gucken wie fertige Produkte aussehen. Man könnte zwar deutlich mehr Transistoren/Einheiten verbauen, muss diese jedoch niedriger takten, da der Stromverbrauch und Hitze wohl sonst explodieren. Intels 22nm-Prozess zeigt irgendwie schon Tendenzen in diese Richtung. Man wird in Zukunft mehr Probleme mit Hitze und Stromverbrauch bekommen. Finfets werden da wohl nicht soviel daran ändern.

Weil Bilder manchmal besser helfen aus diesem thread hier erste Seiten:

http://www.forum-3dcenter.org/vbulletin/showpost.php?p=9945738&postcount=34

http://www.eetimes.com/document.asp?page_number=2&piddl_msgpage=3&doc_id=1319679&image_number=5

http://www.edn.com/contenteetimes/Images/20%20Value.jpg

Iruwen
2013-10-26, 16:23:25
Was heißt 12"K?

Gipsel
2013-10-26, 18:00:42
Was heißt 12"K?
12" ist die Wafergröße (300mm) und K halt soviele tausend Wafer der Größe, wobei die irgendwie die Zahlen an der Achse vergessen haben (oder die Einheit nur aus Gewohnheit rangeschrieben haben).

Undertaker
2014-06-25, 19:44:44
Und nochmal bei den Volumen wo QCOM herstellt, haben sie einen so grossen Rabbatt die ein SoC Hersteller wie NV nie erreichen wird mit den paar Mio Einheiten.

Als großer TSMC-Kunde (die GPUs darf man nicht vergessen) dürfte NV sicher keine schlechten Konditionen für die Tegra-Fertigung bekommen. So oder so, 20nm wird anfangs sicherlich kein Schnäppchen sein, insbesondere bei mutmaßlich schlechterer Ausbeute.

Nightspider
2014-06-25, 19:47:24
Abwarten. Zum Einen soll der 20nm Prozess nicht so viele Probleme machen wie 28nm damals zu Beginn und zum Anderen soll TSMC die Kapazitäten VIEL, VIEL schneller steigern als bei 28nm.

http://www.computerbase.de/2014-06/tsmcs-20-nm-fertigung-mit-20-prozent-umsatz-in-q4-2014/

Ailuros
2014-06-25, 19:52:07
Als großer TSMC-Kunde (die GPUs darf man nicht vergessen) dürfte NV sicher keine schlechten Konditionen für die Tegra-Fertigung bekommen.

Was hat GPU mit SoC Fertigung genau gemeinsam und wer erzaehlt dass es sich um die ein und selben Kapazitaeten oder ein und den selben Vertrag handelt? NV bekommt schon Rabbatt fuer ihre GPU wafer Volumen, hat aber mit Tegra im geringsten nichts zu tun. Bei den Volumen die QCOM braucht ist es eben nicht vergleichbar.

So oder so, 20nm wird anfangs sicherlich kein Schnäppchen sein, insbesondere bei mutmaßlich schlechterer Ausbeute.

Nochmal QCOM wird womoeglich entweder nach Samsung oder GloFo umsteigen, welches aber eher mit Apple relativen Kapazitaets-Problemen zu tun hat als allem anderen.


Das Xiaomi Pad wird ab 1.Juli direkt über Mi.com vertrieben. Merimobile kommt wohl später. Preis wie gesagt 1499 Yuan. Erstmal nur 16gb verfügbar.

I stand corrected. Notiert und danke :)

Undertaker
2014-06-25, 20:37:17
Was hat GPU mit SoC Fertigung genau gemeinsam und wer erzaehlt dass es sich um die ein und selben Kapazitaeten oder ein und den selben Vertrag handelt? NV bekommt schon Rabbatt fuer ihre GPU wafer Volumen, hat aber mit Tegra im geringsten nichts zu tun.

Ich kenne in diesem Fall keine konkreten Zahlen, sehr wohl aber entsprechende Zulieferer-Konditionen aus ganz anderen Branchen. Die Rabatte, die ein Hersteller bei seinen Zulieferern auf einen bestimmten Artikel bekommen kann, hängen immer nicht nur von der Abnahmemenge dieses einzelnen Produktes, sondern auch dem Gesamtumfang aller anderen Produkte ab. Warum das so ist, muss man wohl kaum begründen.

Aber um den Bogen zu schließen: Ein S810 wird mutmaßlich nicht nur von der Ausrichtung, sondern auch vom Preis eine andere Kategorie als K1 sein.

Ailuros
2014-06-25, 21:52:11
Selbst wenn es sich um einen kollektiven Vertrag bzw. Rabbatt handeln wurde ist Qualcomm nach wie vor ein diametrisch groesserer Kunde für TSMC im Vergleich zu NV.

AffenJack
2014-06-25, 22:40:45
Die Rabattsprünge werden aber bei großen Abnahmen immer kleiner. Also zwischen Abnahme für 10Mio$ und 100Mio$ mag man 20% Rabatt bekommen, von 100 Mio auf 1 Mrd nochmal 10%. Von 1Mrd auf 10 Mrd sinds dann nur noch 5% zusätzlicher Rabatt.(Zahlen sind frei erfunden)
Kleinserien sind immer sehr teuer, aber bei großen Sachen feilscht man oft nur um wenige Prozent, die auf die Masse dann aber einen Haufen Ersparnis ergeben.
Zwischen Nv und Qualcomm wirds glaube ich keine riesigen Unterschiede mehr geben. Wenn du teure Waferpreise sehen willst, sollte man eher fragen was X-Gene für ihre ARMv8 Wafer zahlen muss.

Ailuros
2014-06-25, 23:01:54
Bei 40G hatte NV 5% mehr Rabbatt als AMD dank höherem Volumen und zwischen den beiden sind die Verkaufszahlen nicht wirklich Tag und Nacht für GPUs.

Wie viele GPUs bzw chips verkauft denn NV pro Jahr genau? Nein der Unterschied zwischen 10 und 100 mag nicht gigantisch sein, aber zwischen 10 und 300 als Gegenbeispiel dann schon.

Genau weil grosse Kunden wie Apple gleichgültig sind, steht TSMC momentan Kopfstaende Apple auch 16FF anzudrehen. Dabei ist Apple's Volumen kleiner als Qualcomm's. TSMC macht sich damit eigentlich ihr Geschäft kaputt ist aber zu weit OT.

AffenJack
2014-06-25, 23:27:05
Waferdiskussionen aus dem Tegrathread mal hierhin verlagert.
http://www.forum-3dcenter.org/vbulletin/showthread.php?t=468619&page=233


Bei 40G hatte NV 5% mehr Rabbatt als AMD dank höherem Volumen und zwischen den beiden sind die Verkaufszahlen nicht wirklich Tag und Nacht für GPUs.

Wie viele GPUs bzw chips verkauft denn NV pro Jahr genau? Nein der Unterschied zwischen 10 und 100 mag nicht gigantisch sein, aber zwischen 10 und 300 als Gegenbeispiel dann schon.

Genau weil grosse Kunden wie Apple gleichgültig sind, steht TSMC momentan Kopfstaende Apple auch 16FF anzudrehen. Dabei ist Apple's Volumen kleiner als Qualcomm's. TSMC macht sich damit eigentlich ihr Geschäft kaputt ist aber zu weit OT.

300-400 Mio gegenüber 800-900 Mio Umsatz pro Quartal die aus TSMC Wafern generiert wurden. Also schon 1zu 2 bei der Abnahme und dafür paar % Rabatt.
Weiß halt niemand wie die Verträge genau sind, aber Undertaker hat schon recht, dass man in der Regel in der Gesamtheit schon Rabatte bekommt. Da wird dann vll bei Faktor 5 von Nv zu QC nur noch weitere 5%. Noch paar % mehr, weil Tegra nicht gerade oft produziert wird. Dann könnens noch paar % mehr Unterschied sein. Aber Welten werden das nicht mehr sein.

Große Kunden sind natürlich nicht gleichgültig, aber man kann bestimmt nicht einfach sagen, dass TSMC Apple 16FF andrehen will. Man verägert seinen größten Kunden nur aus einem Grund, man bekommt vom anderen mehr Geld. Apple ist anscheinend bereit höhere Waferpreise als QC zu zahlen. Dann bekommen sie auch die Kapazitäten. Nervig allerdings, falls Apple wieder die ganzen Kapazitäten wegnimmt am Anfang. Dann muss man ja bei Gpus Ende 2016 für 16FF befürchten.

Skysnake
2014-06-26, 08:46:41
Erster mal gute Zusammenfassung Gipsel, das passt schon ziemlich gut. Vor allem geh ich mal davon aus, dass du selbst nicht mal was mit nem deep Submicron Prozess am Hut hast. :up:

Das meinte ich damit, daß es übereinander liegt. Das hat auch nicht mit der Chiparchitektur zu tun, das sind Begriffe aus der Fertigung.
Das Front end of Line beschäftigt sich damit, das Silizium entsprechend strukturiert zu dotieren und die eigentlichen Transistoren herzustellen. Das passiert direkt auf dem Silizium-Wafer. Obendrüber kommen dann die Kontaktierungen und Verbindungen der Transistoren untereinander (die metal layer, sind heutzutage bei komplexen Chips mehr als 10). Die Herstellung dieser nennt man typischerweise back end of line. Also bei der Herstellung sind die Transistoren (FEOL) die unterste Schicht, das BEOL stellt die Schichten darüber her.

Das triffts schon ziemlich gut, die Frage ist nur, ob TSMC das auch noch genau so verwendet. Im Prinzip ist es aber richtig.


Und um das nochmal zu wiederholen, bei 16FF spart man genau Null Platz gegenüber 20nm planar. Der contacted gate pitch dürfte identisch sein. Höchstens werden die Layout-Regeln minimal anders ausfallen.

Edit:
Hier mal eine Bild zur Veranschaulichung:

http://smtbook.com/images/layers.gif
Dem kann ich nicht zustimmen. Du hast halt effektiv breitere Gates dank FinFet. Klar, wenn du eh nur minimale Gates brauchst, bringt es dir im Zweifel nichts, aber wenn du nicht nur minimale Gates brauchst, dann sparste dir natürlich etwas.

Wobei man natürlich immer noch schauen muss, wie sich die unterschiedlichen DesignRules bzgl Abstandsregeln genau auswirken auf den Platzbedarf.

Ailuros
2014-06-26, 08:48:13
Waferdiskussionen aus dem Tegrathread mal hierhin verlagert.
http://www.forum-3dcenter.org/vbulletin/showthread.php?t=468619&page=233



300-400 Mio gegenüber 800-900 Mio Umsatz pro Quartal die aus TSMC Wafern generiert wurden. Also schon 1zu 2 bei der Abnahme und dafür paar % Rabatt.
Weiß halt niemand wie die Verträge genau sind, aber Undertaker hat schon recht, dass man in der Regel in der Gesamtheit schon Rabatte bekommt. Da wird dann vll bei Faktor 5 von Nv zu QC nur noch weitere 5%. Noch paar % mehr, weil Tegra nicht gerade oft produziert wird. Dann könnens noch paar % mehr Unterschied sein. Aber Welten werden das nicht mehr sein.

Das Problem ist eben gerade dass nicht nur unregelmaessig produziert wird sondern fuer SoCs und auch im allerbesten Fall mal 10Mio als Vorbestellung. Sonst wenn TSMC ihre vorigen Stammkunden wichtiger waeren wuerde sie sie auch besser behandeln.

Große Kunden sind natürlich nicht gleichgültig, aber man kann bestimmt nicht einfach sagen, dass TSMC Apple 16FF andrehen will. Man verägert seinen größten Kunden nur aus einem Grund, man bekommt vom anderen mehr Geld. Apple ist anscheinend bereit höhere Waferpreise als QC zu zahlen. Dann bekommen sie auch die Kapazitäten. Nervig allerdings, falls Apple wieder die ganzen Kapazitäten wegnimmt am Anfang. Dann muss man ja bei Gpus Ende 2016 für 16FF befürchten.

Apple soll sich Kapazitaeten fuer 14nm bei Samsung+GloFo gesichert haben. TSMC versucht aber trotz allem weiterhin Apple's Hintern zu lecken und Apple ist gerade unter den IHVs die so etwas gern haben (Sunrise hatte auf kurzem darauf verlinkt). Dass QCOM jetzt fuer 20nm fuer Auswege sucht bei Samsung und/oder Glofo weil sie mit TSMC fuer Apple angepisst sind kommt von digitimes. Mehr als oefters erzaehlt digitimes BS aber bei TSMC haben sie schon eine gewisse Verbindung.

Apple und QCOM investieren auch fett in jegliche foundry in solch einem Fall und ihre Vertraege sind meistens nicht die stinknormale Art von Vertraegen sondern ueberhaupt bei Apple sind es solche die ihre Partner nur schweren Herzens unterschreiben koennen.

Es ist ueberall auf der Welt so; kommt ein fetter Kunde an und behauptet er macht Dir den Laden voll und investiert auch noch so und so viel, verhaelt er sich als ob ihm der Laden auch gehoert. Und nein es gibt KEINEN Preis auf dieser Welt der nicht verhandelbar ist.

Apple ist erstmal von Samsung weg nach 28nm weil Samsung ihnen durch den Anfang der 28nm Produktion die Herstellungspreise leicht erhoehte, wobei diese am Anfang schon laecherlich niedrig waren. Apple kam zu diversen "flirts" mit anderen foundries u.a. kam es auch zur 20SoC Produktion vom A8 bei TSMC nur damit Apple einen neuen Vertrag mit Samsung zu unterschreiben wo der vorige Aufpreis wieder verschwunden ist.

Mit was will denn Samsung ihre foundries genau fuellen ohne Apple momentan? Wenn jetzt QCOM einen Vertrag mit Samsung fuer 20nm Samsung abschliessen sollte, nimm bloss kein Gift darauf dass QCOM stur um die $6000/wafer bezahlen wird ;D

smalM
2014-06-27, 00:57:29
Apple ist erstmal von Samsung weg nach 28nm weil Samsung ihnen durch den Anfang der 28nm Produktion die Herstellungspreise leicht erhoehte, wobei diese am Anfang schon laecherlich niedrig waren. Apple kam zu diversen "flirts" mit anderen foundries u.a. kam es auch zur 20SoC Produktion vom A8 bei TSMC nur damit Apple einen neuen Vertrag mit Samsung zu unterschreiben wo der vorige Aufpreis wieder verschwunden ist.

Und ich hatte bisher den Eindruck, Samsung sei einfach zu spät dran mit ihrem eigenen 20nm-Prozeß für Apples Produktzyklus.

Nunja, die 32nm- und 28nm-Produktion verbleibt ja bei Samsung, allerdings dürfte das in den kommenden 12 Monaten nur noch rund ⅓ von Apples Bedarf umfassen.

Ailuros
2014-06-27, 15:06:34
Und ich hatte bisher den Eindruck, Samsung sei einfach zu spät dran mit ihrem eigenen 20nm-Prozeß für Apples Produktzyklus.

Es hiess eher dass 20nm bei Samsung beschissener sei als bei TSMC aber bestaetigen kann es wohl keiner. Sonst duerfte das Taechtelmaechtel mit den Preisen schon stimmen.

Nunja, die 32nm- und 28nm-Produktion verbleibt ja bei Samsung, allerdings dürfte das in den kommenden 12 Monaten nur noch rund ⅓ von Apples Bedarf umfassen.

Wenn Apple tatsaechlich einen Vertrag mit Samsung + GloFo fuer deren 14nm unterschrieben hat dann ist kein besonderes Problem fuer Samsung. Kann ja auch sein dass Samsung QCOM fuer 20nm als Kunde gewinnt.

Ailuros
2014-06-27, 15:53:48
Mal etwas zusaetzliches Lesematerial fuer das Thema hier:

http://semiengineering.com/the-tail-of-moores-law-no-longer-wagging-the-dog/

http://semiengineering.com/wp-content/uploads/2014/06/brian2.png

mrck
2014-06-27, 16:06:08
...

Unicous
2014-07-16, 18:29:30
http://www.computerbase.de/2014-07/tsmc-10-prozent-umsatz-mit-20-nm-socs-in-q3-20-prozent-in-q4/

Im Conference Call zu den Quartalszahlen hat TSMC-Chairman Morris Chang die Zahlen noch einmal genauer spezifiziert. Demnach hat das Unternehmen im Juni begonnen 20-nm-Chips auszuliefern.

Damit hat 20nm schon mal mindestens ein Quartal Verspätung wenn nicht gar mehr.

Zur 16-nm-Fertigung mit FinFETs verlor er ebenfalls ein paar Worte. Die Serienproduktion dort soll zum Ende des dritten Quartals 2015 starten, größere Stückzahlen jedoch erst ab Anfang 2016 lieferbar sein.

Und das Nvidia oder AMD bis Ende 2015 mit neuen Produkten warten kann ich mir auch nicht vorstellen.

Ich denke, dass sie jetzt wie bei der 40nm->32nm Misere schnell zurück auf 28nm portiert haben bzw. neue Designs in Auftrag gegen haben und dann Mitte 2015 die ersten 20nm Produkte kommen. Noch ein Jahr 28nm kann ich mir beim besten Willen nicht vorstellen.
AMD könnte sogar wenn alles gut geht (wovon ich nicht ausgehe;D) bei GF auf 14nm wechseln, aber der Prozess wird sicherlich auch nicht vor Mitte 2015 in Volumenproduktion gehen.

fondness
2014-07-16, 18:33:56
Der Zug für 20nm GPUs ist IMO abgefahren. Next Stop 14/16nm FinFET.

Unicous
2014-07-16, 18:55:30
4 Jahre 28nm? Ich kann es mir kaum vorstellen.

Es wird sich wohl Ende dieses Jahres heraustellen.

mrck
2014-07-16, 18:55:45
...

Unicous
2014-07-16, 19:23:16
Hier ist das Earnings Call Transkript (man braucht einen Account oder einfach nach einem "freien" Account" googleln)
http://seekingalpha.com/article/2317915-taiwan-semiconductor-manufacturings-tsm-management-discusses-q2-2014-results-earnings-call-transcript?part=single

Ich habe es nur kurz überflogen, aber das fand ich höchst interessant.

Number two, in 16 nanometer, TSMC will have a smaller market share than a major competitor in 2015. But we'll regain leading share in 2016, 2017 and onwards.

Wen meinen sie? Oder beziehen sie sich auf Intel und deren 14nm Prozess? Ist Intel ein Mitbewerber für TSMC?

edit: Okay, es ist Intel. Hätte ich nur mal ein wenig weitergelesen.:rolleyes:

Okay. Well, we need to go back to history a little bit, 32 - 28-nanometer follow 32-nanometer. And that particular major competitor that I'll refer to chose 32-nanometer and skipped 28-nanometer. And then of course we came to 20-nanometer and 16-nanometer; 16-nanometer for us, 14-nanometer for him.

(ps. Meine Fresse. Morris Chang kann echt kein Englisch. Den CC möchte ich mir nicht reinziehen. Jede zweite Frage muss wiederholt werden.)

occ-kh@Mirko
2014-07-16, 19:32:08
Ich "persönlich" schließe immer noch nicht aus das es ggf. GPUs in 20nm geben kann. TSMC soll seit Anfang 2014 den Prozess erfolgreich gestartet haben, hat aber nur vergleichsweise wenige Chips produziert. Darunter auch eine Kleinserie von Bitcion-Miners mit guten Ausbeuten.

So wie man selbst aussagt, profitiert man erfahrungsmäßig auch von anderen Produkten wie den Snapdragons bzw. Smartphone und Tablet SoCs. Demnach sollen ca. 90mio Chips bis zum Jahresende ausgeliefert sein. Ob da noch Platz für 20nm GPUs ist, ist wohl fraglich aber es ist auch nicht ausgeschlossen. Beweise habe ich dafür nicht, mehrere unterschiedliche Quellen aber auch "öffentlich" zugängliche Informationsquellen berichteten aber das es so sein könnte, also ist es reine Speku meinerseits.

AffenJack
2014-07-16, 19:51:12
Wenn du weitergelesen hast, sollte dir eigentlich klar geworden sein, dass es nicht Intel ist. Es ist Samsung. Wird klar beim Überspringen von den 20nm Nodes und direktem Gang auf 14nm. Es geht ja auch darum, dass Customer zum Konkurrenten gehen, da dieser früher dran ist. Aber an Intel wird TSMC keine Kunden verlieren. An Samsung schon. Qualcomm soll z.B. schon bei Samsung einige Wafer geordert haben. Gibt auch genug Gerüchte, dass Apple wieder zu Samsung will. Ist schon nen ziemlich dickes Ding, dass TSMC zugibt sich bei 16FF zu verspäten und Samsung früher dran sein wird. Deswegen hat Globalfoundries auch Samsungs Prozess lizensiert. Diese sind einfach früh dran im Vergleich.

Loeschzwerg
2014-07-16, 20:02:25
Die nüchterne Schätzung war schon immer Ende 2015 / Anfang 2016 für 16nm Chips. Tapeout müsste dann logischerweise um Q2/Q3 2015 sein und dafür sollte der Prozess allemal ausreichen.

Aber ganz ehrliche, ein Quartal hin oder her ist auch schon egal.

mrck
2014-07-16, 20:08:33
...

Unicous
2014-07-16, 20:12:13
Aber ganz ehrliche, ein Quartal hin oder her ist auch schon egal.

Um, what?

TSMC 16nm to hit volume production this year

Published on 17th January 2014 (http://www.bit-tech.net/news/hardware/2014/01/17/tsmc-16nm-to-hit-volume-production-this-yea/1)
Liu also revealed that 20 products based on the 16nm process were scheduled for production in 2014.

Ein Quartal hin oder her. LOL.

Unicous
2014-07-16, 20:15:17
Ich wüsste nicht dass "that particular major competitor" von dem du gerade sprichst 28nm ausgelassen hätte.

http://www.samsung.com/global/business/semiconductor/foundry/process-technology/32-28nm


Er spricht auch nicht von Kunden sondern vom Gesamtmarkt.

occ-kh@Mirko
2014-07-16, 20:28:13
Da gab es eine ganz interessante Info von Quantum Global Technologies was die Verwendung von Wafern für 20nm und kleiner angeht. Als deutsche Präzens hatte der Focus berichtet (ansonsten Wallstreet Online), TSMC müsste Abnehmer sein. Kann aber auch nur Gedröhne sein.

http://www.focus.de/politik/diverses/kooperation-quantum-global-technologies-setzt-zusammen-mit-sematech-neue-massstaebe-bei-der-reinheit-von-prozesskammerteilen-um-die-prozessanforderungen-bei-der-wafer-herstellung-mit-strukturgroessen-unter-20-nm-zu-erfuellen_id_3810633.html

Loeschzwerg
2014-07-16, 20:29:18
@Unicous: Kannst du das noch genauer ausführen? Mir ging es bei der Aussage zumindest um die NV Chips @ 16nm und nicht was sonst noch in 16nm mal an Krimskrams geplant war.

Unicous
2014-07-16, 20:37:55
Wie, was Krimskrams?

TSMC hat Anfang des Jahres behauptet Ende 2014 geht 16nm in "volume production". Jetzt haben wir Mitte des Jahres und es soll erst Ende 2015 soweit sein. Das sind fast 1 1/2 Jahre Unterschied. edit: Entschuldigung 1 1/2 Jahre vor dem eigentlich, ich glaube 2013, angesetzten Starts Mitte 2H'14.

Das heißt auch, dass u.U noch nicht einmal die risk production gestartet wurde, wie von einigen Gerüchteseiten kolportiert- geschweige denn kleinere GPU Chips, die sicherlich nicht in der risk production Phase gestartet werden, sondern maximal kurz vor Beginn der Serienproduktion.


Ergo kommt da nichts vor Q3. Und tapeout würde ich eher für Q1/Q2 ansetzen.

HOT
2014-07-16, 20:42:42
Das Problem ist Kosten/Effizienzsteigerung. Das lohnt sich bei den großen Chips bei 20nm planar einfach nicht. FF macht zwar noch mehr Kosten, aber man hat wenigstens ne dicke Effizienzsteigerung drin. 20nm wird nur dort gemacht, wo man unbedingt das letzte bisschen Effizienzsteigerung braucht, beispielsweise in den extrem umkämpften (U)LV-SoC-Bereichen (Apple, AMD, Qualcomm usw.).
Auch Intel ist ja mit Broadwell-Y, Braswell und Airmont dabei mit 14nm FF. Das ist kein Zufall, dass diese Produkte Priorität haben und nicht Broadwell-H.
Der Ganze Rest hat einfach keine Priorität. Dort spart man sich die 20nm und nimmt die große Effizienzsteigerung mit. Dort ist nur eine Generation 20nm Planar auch zu wenig. Das müssten dann ja schon 2-3 Generationen in dem Prozess sein, damit sich das kostentechnisch lohnt.

mrck
2014-07-16, 20:45:47
...

AffenJack
2014-07-16, 20:47:15
Hat Samsung auch nicht, aber Chang hat sich schlecht informiert. Ansonsten ist wie schon gesagt zwischen den Zeilen für mich klar zu lesen, dass es um Samsung geht. (Die Fragestunde war wirklich schrecklich zu lesen, das ging gar nicht)

Well, this year nobody has -- everybody has zero share okay and I am just saying that we'll stop on 16.

Hat Intel also 0% 14nm dieses Jahr? Nein, Chang wird auch direkt nach Kunden gefragt die direkt von 28nm auf 14nm beim Konkurrenten wechseln, nicht nur über den Gesamtmarkt.

Naja, glaub meinetwegen weiter an Intel, für mich gehts um Samsung. Intel ist noch kein direkter Konkurrent für TSMC, mag es aber noch werden, wenn sie ihre Fabs weiter öffnen.
TSMC hat auch bei den letzten Nodes nicht eingestanden, dass man zu spät ist, obwohl Intel immer vorne war, sondern sich immer als die besten hingestellt. Das man diesmal zugibt spät dran zu sein ist ein Vergleich zur direkten Konkurrenz Samsung/Gf.

HOT
2014-07-16, 20:47:18
Ich geh mittlerweile davon aus, dass wir noch einen 28nm HPM Refresh sehen werden. Ich glaube auch nicht, dass man innerhalb einer Architektur shrinkt. Das ist zwar in der Vergangenheit mal passiert, aber da war die Kostenfrage nicht so extrem. Es ist einfach wahnsinn, was 16FF Masken ggü. 28nm Masken kosten. Man wird bei 16FF wieder weniger Chips auflegen um größere Bereiche abzudecken und das sehr sicher mit einer neuen Architektur.
NVs Planung sieht Pascal als erste 16FF-Generation vor und genau so wird das auch kommen.

Unicous
2014-07-16, 20:50:06
@HOT

Du vergisst bei deiner GPU@20nm Schmähung nur eins: TTM- time to market.

Das ist eine genauso wichtige Metrik. Denn auch verfehlte TTM Zeitfenster kosten Geld und das ist meiner Meinung nach der kritischste Faktor 20nm auszulassen. Da aber 16nmFF jetzt erst Ende 2015/Anfang 2016 kommt ist dieses Zeitfenster auch verschoben worden.

AMD könnte zur Not auf GF/Samsung ausweiche, je nachdem wie geeignet der Prozess ist. Nvidia hat diesen Luxus nicht.

Daher frage ich mich, ob nicht vllt. Nvidia nicht doch auf 20nm geht, um AMD einen eventuellen Marktvorteil vorzuenthalten. Denn scheint immer sicherer: GF/Samsung wird wohl schneller 14nm haben, als TSMC.

Loeschzwerg
2014-07-16, 20:51:47
Was sollen denn diese 20 Produkte/Chips gewesen sein, Speicher? Das wäre für mich Krimskrams ^^

Und jetzt weißt du ja was man von gewissen Pressemitteilungen halten kann ;) Aber um diese Verzögerung ging es mir bei meiner Aussage auch keineswegs.

Ich zitiere mich gerne:
Die nüchterne Schätzung war schon immer Ende 2015 / Anfang 2016 für 16nm Chips. Tapeout müsste dann logischerweise um Q2/Q3 2015 sein und dafür sollte der Prozess allemal ausreichen.

Und dazu dann:
Aber ganz ehrliche, ein Quartal hin oder her ist auch schon egal.

Ich bezog mich auf zukünftige 16nm GPUs von NV. Hier spielt es mit dem kommenden 28nm zunächst keine große Rolle wie sehr TSMC mit irgendwelchen Aussagen hinterherhinkt.

Ergo kommt da nichts vor Q3. Und tapeout würde ich eher für Q1/Q2 ansetzen.

2016?

HOT
2014-07-16, 20:52:24
@HOT

Du vergisst bei deiner GPU@20nm Schmähung nur eins: TTM- time to market.

Das ist eine genauso wichtige Metrik. Denn auch verfehlte TTM Zeitfenster kosten Geld und das ist meiner Meinung nach der kritischste Faktor 20nm auszulassen. Da aber 16nmFF jetzt erst Ende 2015/Anfang 2016 kommt ist dieses Zeitfenster auch verschoben worden.

AMD könnte zur Not auf GF/Samsung ausweiche, je nachdem wie geeignet der Prozess ist. Nvidia hat diesen Luxus nicht.

Daher frage ich mich, ob nicht vllt. Nvidia nicht doch auf 20nm geht, um AMD einen eventuellen Marktvorteil vorzuenthalten. Denn scheint immer sicherer: GF/Samsung wird wohl schneller 14nm haben, als TSMC.

Ja, man bleibt einfach bei 28HPM - fertig. Gibt noch ne Maxwell Refresh-Generation und gut ist. Dann passts auch wieder mit Mitte 2016.

Zudem - wenn 28HPM annähernd so effektiv ist wie 20nm für so große Chips, warum sollte man die in 20nm machen, wenn das 1/3 mehr kostet? Ich hab nichts gegen 20nm, es wird nur nicht passieren.
Als letzter Punkt: 20nm Kapazität könnte einfach ausgeschöpft sein von den ganzen SoC-Kunden. Man bekommt für Grafikchips vielleicht gar keine Kontingente. Apple, AMD und Qualcomm könnten für ihre SoCs einfach mehr bieten, als man es für Grafikchips je könnte, weil die Gewinnmargen einfach größer sind (die Chips sind ja auch klein).

Unicous
2014-07-16, 21:10:21
Mit der Meinung, Morris Chang hätte keine Ahnung stehst du ziemlich allein da.:eek:


Der Typ ist seit 27 Jahren CEO seines Unternehmens und weiß genauestens über die Konkurrenz Bescheid. Und hat sich anscheinend den CC von Intel angehört bzw. zusammenfassen lassen.

Morris Chang - Chairman

I just noticed that the Intel said that they are about to talk about their 10-nanometer agenda until sometime next year, all right. So, I'm going to follow that practice okay.

Ich bin mir jedenfalls nicht sicher, ob es Samsung oder Intel ist. Aber gut, das ist ja im Endeffekt egal.

mrck
2014-07-16, 21:16:56
...

Unicous
2014-07-16, 21:33:38
Weiß ich doch.

edit: Ich befürchte auch, dass da ganz viel "Lost in Translation/Transcription" ist. So oft wie der nachfragt und sich die Fragen noch mal von seinen Lakaien erklären lässt. Das ist echt anstrengend. Hinzu kommt, dass die Analysten keinen blassen Schimmer haben. Lächerlich wie und was die fragen. Bzw. lustig.:crazy:

Ailuros
2014-07-16, 21:50:23
Kleine chips haben höhere yields und dadurch auch geringere Herstellungskosten. Apple's A7 ist nur marginal kleiner als ein GK107 und obwohl NV ihr groesstes Volumen mit solchen chips verkauft sind es eben nicht weit über 200 Mio Stuck pro Jahr.

occ-kh@Mirko
2014-07-16, 22:06:57
TSMC sollen gerade erste Chargen des A8 verschickt haben http://www.golem.de/news/erste-chips-verschickt-tsmc-statt-samsung-fertigt-apples-a8-1407-107810.html, was TSMC's Kapazitäten weiter belasten dürfte.

Unicous
2014-07-16, 22:12:08
Eher nicht.:wink:

Das sind TSMCs 20nm Kapazitäten.:biggrin:

occ-kh@Mirko
2014-07-16, 22:26:41
Passt zwar nicht direkt zum Thema, würde ich vllt. nicht ganz so sagen. Im März berichtete Z-Net Korea das die Produktion eigentlich von Samsung allein übernommen werden sollte http://www.zdnet.co.kr/news/news_view.asp?artice_id=20140310110554 (blöder Link ich weiss ;), SLP in chinesisch ist Horror).

Nun hat Samsung den kompletten Zuschlag verloren und soll vorraussichtlich erst wieder beim A9 ins Gespräch kommen. Dies könnte sicherlich bedeuten TSMC hat Kapazitäten hinzugewonnen, oder andere Modalitäten hindern Apple etwas bei Samsung in Auftrag zu geben.

Wenn sich nichts verschiebt ist der Relaise des IPhone 6 auf 19.September geplant, hier wird jedenfalls etwas derartiges berichtet http://www.apfelpage.de/2014/05/27/iphone-6-telekom-bereitet-sich-auf-marktstart-vor/

Na klar, eine SoC hat nix mit GPUs oder ähnlichem zu tun, aber es belegt vllt. doch mehr Kapazitäten als TSMC eigentlich geplant hatte. Sagen werden sie es uns nicht...;)

Apple rechnet hier mit hohen Margen um den A8 schnell im entsprechenden Produkt-Markt integrieren zu können. Vllt. interessiert es ja.

Unicous
2014-07-16, 22:38:26
Gerüchte gegeneinander ausspielen ist eine gefährliche Sache. Wenn beide Seiten unrecht haben, hat man gar nichts gekonnt. Die Truckladung Salz sollte man immer im Garten haben.


Gefertigt werden soll die neue Apple-CPU von TSMC (Taiwan Semiconductor Manufacturing) und Samsung. Im März berichtete ZDNet Korea, dass Samsung die alleinige Produktion des Apple-Prozessors übernehme.
http://www.cnet.de/88133412/apple-iphone-6-a8-cpu-soll-mit-zwei-kernen-und-mehr-als-2-ghz-kommen/

Aktuell gehen Beobachter davon aus, dass der A8 von TSMC und Samsung gefertigt wird. Damit hätten die Südkoreaner erstmals Konkurrenz bei Apples ARM-Prozessor-Herstellung. Erste TSMC-Chips sollen bereits in Richtung Cupertino gegangen sein.
http://www.heise.de/mac-and-i/meldung/Neuer-Chip-im-iPhone-6-soll-2-GHz-Huerde-ueberspringen-2260164.html

Ob das stimmt kann man natürlich auch nicht sagen. Was man aber weiß ist, dass Apple ein enormes Volumen für seine Chips benötigt. Ob TSMC das alleine stemmen kann ist zumindest fraglich.

occ-kh@Mirko
2014-07-16, 22:48:40
Was man aber weiß ist, dass Apple ein enormes Volumen für seine Chips benötigt. Ob TSMC das alleine stemmen kann ist zumindest fraglich.
Das ist noch nichtmal allein, (das mit den Gerüchten da kann man nix machen, der Wahrheitsgehalt ist immer so lalala, weisst du ja selbst..;)), aber wegen der doch hohen Taktung und dem gleichzeitigen hohen Anspruch von Apple soll TSMC schon ordentlich zu tun haben. Ein A8 bleibt weiterhin ein Zweikerner und soll wohl bei ersten Test in 20nm doppelt so schnell sein wie ein A7. Habe leider keine Benchmarks nur Infos...;), Apple gilt sogesehen derzeit als bevorzugter Kunde, wegen des doch lukrativen Geschäfst das sich anbahnt.

TechNews geht sogar noch einen Schritt weiter und will erfahren haben, dass TSMC der einzige Produzent des A8 sein wird. Apple habe sich für TSMC aufgrund dessen Erfahrung mit dem neuen 20-Nanometer-Fertigungsprozess entschieden.

KGI-Securities-Analyst Ming-Chi Kuo hatte Zweifel an Apples Fähigkeit – oder Bereitschaft – geäußert, 2014 eine neue Generation des iPad Mini Retina herauszubringen. Er behauptete zudem, dass vermutete “iPad Pro” mit 12,9-Zoll-Display sei auf 2015 verschoben worden. Sollte sich das bewahrheiten, würde der A8 anfänglich nur in einem aktualisierten iPad Air sowie einem neuen iPhone zum Einsatz kommen.

Hübie
2014-07-17, 05:19:59
Welcher Prozess? 16nm? Ich glaube nicht, Tim.

Al. Natürlich meine ich 20 nm. Soll ich dir die Suppe vorkauen? :rolleyes:

@mirco: Charge. Nicht Marge ;)

fondness
2014-07-17, 11:44:39
Daher frage ich mich, ob nicht vllt. Nvidia nicht doch auf 20nm geht, um AMD einen eventuellen Marktvorteil vorzuenthalten. Denn scheint immer sicherer: GF/Samsung wird wohl schneller 14nm haben, als TSMC.

Sieht tatsächlich so aus als scheint beim FinFET-Prozess Samsung/GF Vorteile zu haben ggü. TSMC:
http://www.fudzilla.com/home/item/35255-tsmc-reportedly-losing-apple-and-qualcomm-orders

occ-kh@Mirko
2014-07-17, 12:42:28
...Charge. Nicht Marge ;)
Danke, gefixt...gestern war sogesehen etwas aufregend, bin nicht mehr der Jüngste, da steigt dann die Fehlerquote. ;).

Das 20nm ausfällt kann sicher auch daran liegen das TSMC vollauf zu tun hat, mit den Low-Power-Varianten und hier auch hohe Rendite gewährt, warum wissen wir ja nun, die Kohle fließt ohne Ende.

Umdesignen des ursprünglichen 204 daher nicht ausgeschlossen. Wie ist das jetzt eigentlich, kann man die Anzahl der Streamprozessoren überhaupt auf die einst vorgesehene Fläche von vllt. 300mm² unterbringen?

Mittlerweile soll es sowas wie eine Absicht an einem 204b geben der dann nächstes Jahr erscheinen und kleiner werden soll, dass kann von der Info her aber auch alles Bullshit sein.

Da ist man mittlerweile schon erschrocken und meint eine GTX kommt dann irgendwann mit 8GB für 1400$..., ich hoffe mal nicht.

Dural
2014-07-17, 13:01:28
GF Vorteile gegenüber TSMC?

Glaub ich nicht, GF ist von mir ausgesehen eh schon fast weg vom Fenster. Der Rückstand betrug in der Vergangenheit als es noch zu AMD gehörte ca. 1 Jahr zu Intel, heute sind es 2-3 Jahre. :eek:

occ-kh@Mirko
2014-07-17, 13:08:20
GF Vorteile gegenüber TSMC?Glaub ich nicht, GF ist von mir ausgesehen eh schon fast weg vom Fenster.
Ich dachte die wären durch die Kooperation und Lizensierung durch Samsung ganz gut dabei, man spricht das diese ggf. den A9 für Apple in 14nm fertigen sollen. 2015/2016...

Der von Samsung entwickelte und an GLOBALFOUNDRIES lizensierte 14-nm-FinFET-Prozess basiert auf einer Technologieplattform, die sich bereits als führende Entwurfsmethode für die massenproduzierte, stromsparende SoC (system-on-chip)-Technik bewährt hat. Die Plattform nutzt die Vorteile dreidimensionaler, vollständig entladener FinFET-Transistoren, um die Einschränkungen der Planartransistor-Technologie zu überwinden. Damit wird eine bis zu 20 Prozent höhere Geschwindigkeit erreicht und 35 Prozent weniger Strom verbraucht bei einer 15-Prozent-Flächenskalierung im Vergleich zu branchenüblicher 20-nm-Planartechnologie erreicht.

Dural
2014-07-17, 13:16:38
Sie Lizensieren den Samsung 14nm Prozess, das sagt für mich schon fast alles aus! Nämlich das sie nicht mal mehr die mittel haben für die eigenen Prozess Entwicklung.

und Generell, wenn GF von Massenfertigung spricht, kann man in der Regel 1 Jahr + dazu rechnen bis was im Handel ist.

2015, ich glaube das erst wenn ich das Produkt in der Hand halte ;) und überhaupt TSMC kann 20nm Liefern, von GF kommt bis heute nichts.

Unicous
2014-07-17, 14:02:43
Sie Lizensieren den Samsung 14nm Prozess, das sagt für mich schon fast alles aus! Nämlich das sie nicht mal mehr die mittel haben für die eigenen Prozess Entwicklung.

und Generell, wenn GF von Massenfertigung spricht, kann man in der Regel 1 Jahr + dazu rechnen bis was im Handel ist.

2015, ich glaube das erst wenn ich das Produkt in der Hand halte ;) und überhaupt TSMC kann 20nm Liefern, von GF kommt bis heute nichts.

Blödsinn.

Google nach 14XM, dann weißte Bescheid. Sie satteln auf Samsungs Prozess um weil der anscheinend entweder besser ist, oder man sich verspricht als SSS "Samsungs Second Source:wink:) Aufträge von Qualcomm, Apple und Co. an Land zu ziehen. Oder beides. Und laut der Gerüchteküche scheint das zu klappen. Nicht zu vergessen, dass Samsung tatsächlich deutlich weiter zu sein scheint als gemeinhin angenommen und 14XM wohl noch dahingeschlurft ist ->TTM.
Ich schätze das ist/war eine rein ökonomische Entscheidung. Und dennoch gibt ja noch das Lippenbekenntnis, dass sie 14XM weiter evaluieren.

Und dass der 28nm Prozess nicht so schlecht zu sein scheint, zeigt meines Erachtens Kabini, die Konsolenchips und GPUs die jetzt auch bei GF gefertigt werden.

HOT
2014-07-17, 14:31:56
Noch eins nach dazu: GloFo mangelnde finanzielle Ressourcen zu unterstellen ist ganz schön lächerlich ;). Die bauen und kaufen wie die blöden. Investitionen von Öl-Milliarden halt. Die haben den Samsung-Prozess lizenziert, weil man schneller mit Geld an Fertigung kommt. Es ist nur ne Frage der Zeit, bis alle Foundries abseits von TSMC und Intel kooperieren werden, aus Kostengründen. Und GloFo profitiert genau hiervorn. Für Maxwell und Pascal ist GloFo offenbar keine Alternative. Aber danach kann das durchaus auch bei NV passieren.

Nakai
2014-07-24, 11:37:45
Aber schon irgendwie lustig. Vorher war es genau andersrum. AMD mit dem kleinen SI und hohen Taktraten und nun nvidia :D

Spricht für einen Shrink in 2015/2016 des GM204 auf FF16.
Wenn GM204 etwa ~380mm² groß ist, sollte ein Shrink von 28nm auf FF16 immer noch groß genug für ein 256Bit SI sein. Das SI & PHYs skaliert sowieso kaum mit dem Fertigungsprozess.

HOT
2014-07-25, 12:39:47
Spricht für einen Shrink in 2015/2016 des GM204 auf FF16.
Wenn GM204 etwa ~380mm² groß ist, sollte ein Shrink von 28nm auf FF16 immer noch groß genug für ein 256Bit SI sein. Das SI & PHYs skaliert sowieso kaum mit dem Fertigungsprozess.
Die shrinken den nicht. Erst 16nm Generation ist zu 99,999% Pascal und das frühestens Mitte 2016. Entgegen der wie immer viel zu optimistischen Einschätzung von TSMC bezüglich des 16nm Prozess sollte man eher davon ausgehen, dass
1.) Der Prozess erst in 2016 überhaupt serienreif ist und
2.) die ganzen SoC-Hersteller sicherlich vom Start weg bevorzugt werden, weil die viel kleinere Chips haben, dadurch besser mit den Yields klarkommen und vielleicht sogar mehr bezahlen werden.

Man sehe sich 20nm an, da wurde schon für letztes Jahr mit Produkten gerechnet, die es dann nie gab und erst jetzt sind Produkte absehbar. Vielleicht gibts sogar noch ne Maxwell-Refresh-Generation in 28nm.
Bei AMD könnte ich mir sogar vorstellen, dass die nach Fiji auf 20nm HPM bei GloFo wechseln und damit erstmal bis 2017 weitermachen.

CD-LABS
2014-07-26, 22:09:51
Keine GPU vor Ende 2014 in 20nm? Das ich nicht lache. Als erstes werden die mobile GPUs vom 20nm Band laufen und das sicherlich vor Ende Juni 14.
Klassischer Fall von ganz, ganz knapp daneben... :biggrin:
...mittlerweile würde ich eher auf keine 20nm-GPU vor Ende 2014 als auf das Gegenteil tippen!

Hübie
2014-07-27, 02:13:24
Na ja er könnte sich damit rausreden sowas wie SGX oder Adreno etc. gemeint zu haben ;D

Ailuros
2014-07-27, 17:34:26
Na ja er könnte sich damit rausreden sowas wie SGX oder Adreno etc. gemeint zu haben ;D

Von 20SoC TSMC wirst Du nur Apple A8 GPU sehen (egal ob eine Rogue GPU dahinter steckt) und wenn die Geruechte stimmen gar nichts von 20SoC fuer Qualcomm :freak: :P ;D

N0Thing
2014-07-27, 21:53:26
Nichts in diesem Jahr oder generell gar nichts? Oder handelt es sich dabei eh nur um Schwachsinns-Gerüchte?

Ailuros
2014-07-27, 22:06:02
Dieses Jahr sicher nichts. Danach werden wir sehen wie es aussieht.

N0Thing
2014-07-27, 22:07:35
Okay, danke. :)

AffenJack
2014-07-27, 23:09:03
Von 20SoC TSMC wirst Du nur Apple A8 GPU sehen (egal ob eine Rogue GPU dahinter steckt) und wenn die Geruechte stimmen gar nichts von 20SoC fuer Qualcomm :freak: :P ;D

Also ich hab bisher nur davon gehört, dass QC bei 14nm zu Samsung will. Aber der S810 usw dürften eigentlich recht sicher von TSMC kommen.

Ailuros
2014-07-28, 09:41:53
Also ich hab bisher nur davon gehört, dass QC bei 14nm zu Samsung will. Aber der S810 usw dürften eigentlich recht sicher von TSMC kommen.

Es hoert sich so an als dass es wenig bis gar keine S810 in Geraeten dieses Jahr wird.

AffenJack
2014-07-28, 10:51:27
Achso, ich dachte du meinst generell kein 20SoC von TSMC für Qualcomm, dieses Jahr hast natürlich recht.

Ailuros
2014-07-28, 10:56:25
Achso, ich dachte du meinst generell kein 20SoC von TSMC für Qualcomm, dieses Jahr hast natürlich recht.

Nightspiders' Kommentar sprach von 20SoC GPUs dieses Jahr ;)

Nightspider
2014-07-28, 12:25:38
Hey hört auf alte Zitate von mir rauszukramen. :D

Abgesehen davon weiß keiner von eich mit Gewissheit ob nicht schon irgendwo 20nm SoCs oder mGPU vom Band purzeln. ;)

Ailuros
2014-07-28, 12:38:35
Hey hört auf alte Zitate von mir rauszukramen. :D

Abgesehen davon weiß keiner von eich mit Gewissheit ob nicht schon irgendwo 20nm SoCs oder mGPU vom Band purzeln. ;)

Αpple A8 hab ich doch oben erwaehnt :biggrin:

Ailuros
2014-08-06, 20:23:30
http://blog.imgtec.com/multimedia/moores-law-28nm-and-the-future-of-ip-design

IMG blogpost ueber 28nm und die Zukunft von IP.

Nakai
2014-08-06, 22:08:14
http://blog.imgtec.com/multimedia/moores-law-28nm-and-the-future-of-ip-design

IMG blogpost ueber 28nm und die Zukunft von IP.

Ein Auszug:

I don’t think it makes sense to bring FinFET to 28nm. However, this doesn’t mean that innovation at this process node has to stop. For example, TSMC has recently introduced 28HPC, a low cost version of 28HPM. 28HPC is a leading technology for 64-bit apps processors that target the mid-range and entry-level market; it offers a high density 7-track library with improved power and routing efficiency, flexible channel length for low leakage designs and reduces chip area after place and route.

To give you an idea of how 28HPC stacks up against 28LP, this new process node offers better performance (1.26x), lower power (0.61x) and smaller area (0.9x). Moreover, it is compatible with 28HPC and can be easily re-calibrated for a range of PPA enhancements. The great news about 28HPC is that it goes beyond mobile – according to TSMC, it is set to become a leading process node for IoT applications.

Okay, bald sehen wir einen 28HPC-Prozess, welcher starke Vorteile gegenüber 28LP hat. Die Lowcost-Version des 28HPM-Prozesses.

horn 12
2014-08-06, 23:04:44
Also der Bermuda Chip von AMD womoeglich ?

N0Thing
2014-08-06, 23:35:34
Nein, ich denke das sind eher SoCs, die damit hergestellt werden. Es fehlt bisher ja auch eine GPU, die wenigstens HPM nutzt. Da ist es mehr als unwahrscheinlich, daß AMD mit HPC noch einen weiteren Schritt Richtung low power geht.

mboeller
2014-08-07, 09:40:10
http://semiengineering.com/the-tail-of-moores-law-no-longer-wagging-the-dog/

wow...das schaut aber nicht gut aus.

Also 20nm wird IMHO nur dazu benutzt werden um die CPUs/GPUs kleiner zu machen, aber nicht dazu in die Breite zu gehen.

Wenn jeder Transistor gleich viel kostet wie jetzt mit 28nm dann würde eine GPU mit doppelt so vielen Transistoren auch gleich doppelt so viel kosten. Klar nicht die ganze Karte, aber zumindest die GPU selbst. Es wird also noch teurer werden als jetzt schon.

Für Kunden wie Sony (PS4) und Microsoft (XB1) gibt es also eigentlich keinen Grund auf 20nm oder 16nm zu setzen.

Allerdings kann die Metric nicht ganz stimmen. Bei 20Mio Transistoren pro Dollar würde eine Hawaii - GPU mit 6.2 Mrd gleich mal 310 Dollar kosten. ;D

N0Thing
2014-08-07, 13:33:19
Wenn da nicht nur die reinen Herstellungskosten, sondern auch Entwicklung und Anpassung an den Prozess mit drin sind, kommt das vielleicht hin. Oder die Preise sind nach Liste und ohne Rabatte. ^^

mboeller
2014-08-15, 16:56:26
so schlecht kann es um den 16nm FinFet-Prozess bei TSMC ja nicht stehen.

Applied Micro will nächstes Jahr die ersten Samples an die Kunden ausliefern:

http://www.heise.de/newsticker/meldung/Hot-Chips-ARM-Prozessor-mit-64-Kernen-2293020.html

...und so klein sollte eine 64-Core CPU auch nicht sein.

[edit]

http://www.enterprisetech.com/2014/08/13/oracle-cranks-cores-32-sparc-m7-chip/

...und noch ein Kunde für TSMC's 16nm FinFet Prozess. Sparc M7 ... sind aber nur 10 Mrd. Transistoren pro Die mit > 3.6GHz Lieferungen: irgendwann 2015

spotz
2014-08-16, 16:55:52
Wenn jeder Transistor gleich viel kostet wie jetzt mit 28nm dann würde eine GPU mit doppelt so vielen Transistoren auch gleich doppelt so viel kosten. (...)

Für Kunden wie Sony (PS4) und Microsoft (XB1) gibt es also eigentlich keinen Grund auf 20nm oder 16nm zu setzen.

Nehmen die Foundrys pro Transistor immer den gleichen Preis? Ich dachte bisher das die Preise von Jahr zu Jahr fallen, weil die Foundrys anfangs viel höhere Margen/Preise verlangen als beispielsweise im darauffolgenden Jahr. Deshalb dachte ich das Kunden wie Microsoft oder Sony es sich dann doch irgendwann lohnen müsste die Chips für ihre Konsolen in einer 20nm oder 14nm Fertigung herstellen zu lassen. Spätestens doch wenn die Investitionen für die 20nm/14nm Fabs abgeeschrieben sind?

Nakai
2014-08-16, 18:38:04
Ach, so schlimm wird das ganze noch nicht sein. 20SOC wird ausgelassen, also dann 16FF/14FF. Die werden auch erstmal nicht übernommen, da die Yields und sonstige Einflussfaktoren erstmal reifen müssen. Dann wird es notwendig sein Technologien einzuführen, welche die Diesize indirekt verkleinern und den Stromverbrauch positiv beeinflussen. HBM verlagert wohl die Komplexität in Richtung Package, als dass die Platine aufwändiger wird. Wird alles in einem Package ausgeliefert, kann Kühlung optimiert werden und somit hier auch Kosten sinken.

Ein reiner 20SOC oder 14/16FF-Prozess ist erstmal nicht notwendig. 28nm hat noch etwas Luft(28HPM, 28HPC). Ansonsten müssen auch die Architekturen reifen. Ich denke in 2 - 3 Jahren wird 14/16FF völlig normal sein. Die Kosten mussen einfach andertweitig gesenkt werden, dass es möglich ist, einen neueren Prozess einzuführen.

Brillus
2014-08-18, 14:55:28
Ach, so schlimm wird das ganze noch nicht sein. 20SOC wird ausgelassen, also dann 16FF/14FF. Die werden auch erstmal nicht übernommen, da die Yields und sonstige Einflussfaktoren erstmal reifen müssen. Dann wird es notwendig sein Technologien einzuführen, welche die Diesize indirekt verkleinern und den Stromverbrauch positiv beeinflussen. HBM verlagert wohl die Komplexität in Richtung Package, als dass die Platine aufwändiger wird. Wird alles in einem Package ausgeliefert, kann Kühlung optimiert werden und somit hier auch Kosten sinken.

Ein reiner 20SOC oder 14/16FF-Prozess ist erstmal nicht notwendig. 28nm hat noch etwas Luft(28HPM, 28HPC). Ansonsten müssen auch die Architekturen reifen. Ich denke in 2 - 3 Jahren wird 14/16FF völlig normal sein. Die Kosten mussen einfach andertweitig gesenkt werden, dass es möglich ist, einen neueren Prozess einzuführen.


Bei den Konsolen sehe ich eher den wechsel auf 20 bulk, als auf 14/16FF. Was man so liest soll bei den FF-Prozessen ja keine kleineren Transistoren benutzt werden, dagegen sind FF-Wafer sicherer teurer. Performance mäßig sind die Konsolenchips fix -> kein Vorteil bei FF. Bleibt nur noch das evtl. billigere Kühlerdesign wegen weniger Abwärme, ich glaube aber nicht, dass sich das gegen den teureren Prozess rechnet.

Aber in beiden Fälle erwarte ich aber erst einen wechsel in 2-3 Jahren (oder später)

Unicous
2014-08-18, 15:48:38
Da beide Chips jetzt von AMD kommen und bei TSMC und GF gefertigt werden, und damit nicht mehr an IBM gekettet sind, sehe ich deutlich mehr Flexibilität bei den Auswahlmöglichkeiten.
Andererseits glaube ich auch, dass es u.U. länger dauern könnte bis es einen Shrink gibt und es eher eine neue Maske gibt, die an der Effizienz schraubt. Vllt. gibt es auch weder Revision noch Shrink, weil die Konsolen eine geringere Lebenszeit haben, wie gemunkelt wird.

Allgemein drückt die Industrie ganz schön auf die Tränendrüse. Ich denke das Gewinsel ist eher eine Bankrotterklärung der Industrie, die in der Vergangenheit viel zu wenig in R&D gesteckt hat und auch von der Wirtschaftskrise getroffen wurde. Jetzt sehen sie das Resultat: Intel produziert wahrscheinlich schon fleißig 14nmFinFET Chips, oder ist in der letzten Evaluierungsphase während noch darüber gestritten wird, ob 20nm ein Node ist den man überspringen oder zähneknirschend mitnehmen sollte, weil er einfach zu spät kommt und wahrscheinlich zu einseitig konzipiert wurde.

Unicous
2014-09-17, 17:31:56
Wollte jetzt kein extra Thread dafür aufmachen.

https://www.semiwiki.com/forum/content/3849-globalfoundries-acquires-ibm-semiconductor-unit.html

GF scheint wohl doch IBMs Semiconductor Sparte zu übernehmen und bekommt vllt. sogar mehr als die geforderten 2 Milliarden Dollar.:freak:

Unicous
2014-09-30, 17:59:58
Hmm. Geisterstadt hier.

Nachdem TSMCS und HiSilicon ihr erstes Produkt vorgestellt haben

TSMC Delivers First Fully Functional 16FinFET Networking Processor (http://www.tsmc.com/tsmcdotcom/PRListingNewsAction.do?action=detail&newsid=8821&language=E)

gibt es heute einen weiteren Kunden (ARM) der Test Chips herstellen lässt:

TSMC and ARM set new Benchmarks for Performance and Power Efficiency with First Announced FinFET Silicon with 64-bit ARM big.LITTLE Technology (http://www.tsmc.com/tsmcdotcom/PRListingNewsAction.do?action=detail&language=E&newsid=8881)

TSMC ist also im Plan (also ihrem eigenen "subject to change" Plan:wink:), es hat sich aber nicht viel verändert. Bis mehr als nur kleine Test Chips und großes Volumen ausgespuckt werden wird es weiterhin Monate dauern.

Locuza
2014-09-30, 18:14:49
Immerhin hört man etwas davon, bei GloFo würde ich mir das langsam auch wünschen.

Unicous
2014-09-30, 18:26:16
Immerhin hört man etwas davon, bei GloFo würde ich mir das langsam auch wünschen.

Das stimmt wohl, aber Samsung hat dementsprechend auch noch nicht viel von sich hören lassen.

Da sie die gleichen Tools, Verfahren etc. nutzen wollen kann man davon ausgehen, wenn Samsung soweit ist, ist auch GF nicht weit entfernt, oder auf dem gleichen Stand.

Außerdem sind das immer noch Testchips. Ich glaube auch TSMC weiterhin nicht, dass die risk production Ende letzten Jahres begonnen hat und da jetzt erst Vorserien Modelle und ARM Testchips produziert und getestet werden, bzw. der Prozess evaluiert wird, bestärkt das meinen Verdacht.


edit:

http://electroiq.com/blog/2014/09/samsung-adopts-proplus-designs-14nm-finfet-process/

Samsung has already completed 14LPE FinFET process qualification and started risk production,” remarks Dr. Steve Kwon, vice president of Design Service team at Samsung Electronics.

Von Anfang September. Damit läge aber Samsung beim LPE Prozess ein Jahr hinter TSMC. Und Volume Production soll ja nächstes Jahr starten. Das passt alles so gar nicht zusammen :-(


Samsung decided to create two versions of 14nm FinFET: 14LPE (early version) and 14LPP (lower power). You can start prototypes now and expect production by end of 2014.
https://www.semiwiki.com/forum/content/3866-samsung-14nm-finfet-design-cadence-tools.html

Oder Samsung ist vllt. schon weiter? Auch das hatte ich überlegt, aber durch die ganze PR-Sprache besonders der Foundry-Leute durchzublicken ist eigentlich unmöglich.
Andererseits ist das auch die Aussage, die sie im April gemacht haben. Prototyping now, Mass Production End of 2014.

Locuza
2014-09-30, 18:43:04
An der Stelle möchte ich gerne noch nachfragen, wie es GloFo lösen will.
Soweit ich verstanden habe, soll man seine Chips nahezu sowohl bei Samsung, als auch bei GloFo produzieren können, ohne viel Aufwand, da das gleiche IP-Set für "14"nm verwendet wird.
Gilt das nur für das FinFET FEOL oder adaptiert GloFo auch das 20nm Back-End von Samsung?

Edit: @ Timeline

Da hat mir ein Artikel von Elektroniknet.de gefallen, wo es einfach hieß das man durch Roadmaps und PR-Aussagen leider kein Gefühl bekommt wer in der "realen Welt" weiter vorne oder hinten ist.

Unicous
2014-09-30, 18:53:22
Meines Erachtens übernimmt GF die Designvorgaben komplett, also front to back.
Sie haben zwar "theoretisch" ihren 14XM noch nicht offiziell abgesägt, aber über 20nm hört man genauso wenig, daher frage ich mich schon etwas länger ob es die beiden Prozesse überhaupt noch gibt.:confused:


edit:

Hast du da einen Link parat für den Artikel?

Locuza
2014-09-30, 18:59:37
http://www.elektroniknet.de/halbleiter/sonstiges/artikel/111839/

Interessant das Zeug wieder zu lesen, es hat sich in relativ kurzer Zeit doch einiges bewegt.

Unicous
2014-09-30, 19:00:30
Aaah danke. Ich glaube den ersten Teil hatte ich gelesen.

Unicous
2014-10-02, 15:19:59
Wie lustig. Kaum haut TSMC ein paar Dinger raus muss auch Samsung etwas über die Presse kolportieren:

http://www.zdnet.com/samsung-expects-sales-boost-from-apple-chip-deal-7000034269/


Samsung is expected to start producing application processors (APs) for clients such as Apple, Qualcomm, and AMD, using its 14-nanometre process around the end of the year.


Kim declined to comment on when Samsung will start mass producing said chips for clients.
:rolleyes:

Also das hört sich für mich doch eher nach "Oh weh, oh weh TSMC ist mit 16FF(+) in den Medien wir müssen uns unbedingt ins Gespräch bringen" an.

Hübie
2014-10-02, 15:21:30
Haha. Ja. Jetzt wo du es sagst... X-D

Sind damit jetzt auch SoCs gemeint? :|

Ailuros
2014-10-02, 15:38:47
Also das hört sich für mich doch eher nach "Oh weh, oh weh TSMC ist mit 16FF(+) in den Medien wir müssen uns unbedingt ins Gespräch bringen" an.

Es ist wohl eher beindruckend wie schnell und effektiv Samsung ihre Herstellungsbude aufgeraeumt hat. Im writeup steht zwar drin dass Apple angeblich zu 30% bei Samsung 20nm herstellt und zu 70% unter 20SoC TSMC aber es sitzt mir als Bauchgefuehl irgendwie nicht.

Nun gut wenn Apple von sagen wir mal Q3 14' bis Q3 15' insgesamt 200Mio 20nm SoCs herstellt dann sind die hypothetischen 60Mio SoCs die fuer Samsung mit der obrigen Analogie zustehen alles andere als wenig, aber es ist auch ein gewisser Aufwand fuer zwei verschiedene foundries auszulegen. Ob dann Samsung's hypothetische A8 SoCs immer noch "nur" 89mm2 gross sind ist eine Frage fuer sich.

HOT
2014-10-02, 15:41:26
GloFo wird schon einen Planarprozess haben, es gibt nur kaum Infos dazu. Andererseits ist GloFo nicht so eine offene Firma wie beispielsweise TSMC oder Intel, die sich ggü. Aktionären rechtfertigen müssen. Aber dass dort auch Samsung-Technik zum Einsatz kommt bezweifel ich ganz stark. Das wird der 20nm LPM/HPM sein, von denen in 2013 die Rede war. AMD hat ja für 1.HJ Nolan in 20nm quasi angekündigt. Mal sehen, ob der von GloFo oder TSMC kommt. Es war ja auch nur die Rede davon, dass 14XM zugunsten der Samsung 14nm FF-LP-Prozesse auf Eis gelegt wurde, nicht jedoch 20nm LPM/HPM.
Zudem hat man jetzt bei GloFo u.U. auch 22nm PDSOI und andere künftige IBM-FF-Prozesse im Angebot und STs FDSOI-Prozesse gibts ja auch noch.

Unicous
2014-10-02, 16:00:38
Es gibt deutlich mehr Gerüchte, dass 20nm/14XM Probleme haben/hatten und vllt. beide Prozess gecancelt wurden als "Wir haben 20nm Produkte für den Produktionsstart validiert" Pressemitteilungen. Also um es präziser auszudrücken: Es gibt Null Pressemitteilungen.

Es gab Ende Letzten Jahres mal die AMD Tape-Out Gerüchte und man hat sich gefragt ob es TSMC oder GF ist. Bei 20nm war es scheinbar TSMC und nicht GF. Seitdem gibt es genau eine PM bezüglich zukünftiger Prozesse: Das Samsung Joint-Venture.

Computerbase behauptet sogar ohne Quellenangabe, Samsung(e::rolleyes: vergessen:wink:) UND GF würden 20nm überspringen, aber dazu gibt es keinerlei offizielle Meldung seitens GF. Lediglich eine geleakte "ramp map", die 20nm nicht aufführt.

So wie ich das interpretiere ist 20nm für GF gestorben und dadurch auch 14XM (außer man hat schon retooled, was ein sehr großer finanzieller Aufwand wäre). Man hat still und leise beide Prozess abgeschossen (fehlende/ungenügende design wins?) und konzentriert sich jetzt mit Samsung und ohne IBM auf den "real" 14nm process.


edit:

Hmmm.

Samsung has multiple customers now using the early version of its 14nm FinFET process, however none has agreed to be named yet. The process is fully qualified and in production, but Samsung declined to comment on its yields or volumes.

Hmmmmm.
Samsung declined to provide any specifics on parts made in its 14nm FinFET process.

Samsung’s reticence should not be taken as a sign it is behind, said one source familiar with both companies’ processes. TSMC typically uses ARM cores to test its new processes, but Samsung does not, the source said, noting it’s a close race between the two companies.


Hmmmmm.

In a session here, Samsung and GlobalFoundries reiterated their ongoing partnership, announced in April, on the 14nm process. Both companies aim to have products ramping into volume production next year. They will follow-up with a version of the process, now being qualified, that will be optimized for high performance.
http://www.eetimes.com/document.asp?doc_id=1324165

Akkarin
2014-10-02, 17:14:53
http://www.globalfoundries.com/docs/default-source/PDF/samsung-globalfoundries-14nm-collaboration.pdf?sfvrsn=0

Hier steht ein klein wenig was zu unterschiedlichen 14nm GloFo Prozessen, ist aber auch schon älter.

Unicous
2014-10-02, 17:23:44
Die .pdf dürfte ja hinreichend bekannt sein. Die wurde im Zuge der Bekanntgabe des Joint Ventures an die Presse ausgegeben.

Zwei Prozesse: LPE zuerst, LPP folgt, irgendwann.

Samsung kaut aber seitdem (bzw. schon vorher) die gleichen Infos wieder: Sampling/Prototyping jetzt, Volumenproduktion Ende des Jahres.


Achso: Das letzte Zitat war eher auf, das follow up kommt... aber wann sagen sie nicht. Habe ich vergessen hinzuschreiben.:redface:


Jetzt wird's richtig lustig:

THG behauptet:

Samsung To Ship 14nm FinFET Chips For Apple, Qualcomm And AMD Late Next Year (http://www.tomshardware.co.uk/samsung-amd-qualcomm-apple-finfet,news-48970.html?)

:eek:

At a press conference, the president of Samsung's semiconductor arm declared that the company's profits should improve soon once it starts using its next-generation process technology. Samsung is expected to build chips using its 14nm FinFET (3D transistors) process for companies such as Apple, Qualcomm and AMD by the end of next year, which is right around the time Apple is supposed to launch its A9 processor.

Samsung wird für AMD fertigen? Als Second Source? First Source? Only Source?



Edit: Ich bin echt zu doof. Sry. Die (Primär-)Quelle ist natürlich die gleiche Quelle, die ich vorhin schon gepostet haben. Und dabei übersehen, dass AMD auch erwähnt wird.:facepalm:

Ich gehe mal davon aus, dass das eher eine "Annahme" als eine konkrete Aussage ist.:rolleyes:

Skysnake
2014-10-02, 21:48:57
AMD macht inzwischen:

CPUs
GPUs
APUs/SOCs
RAM
SSD


also sollte man da nicht zu viel reinlesen ;)

Unicous
2014-10-02, 21:56:42
AMD macht inzwischen:

CPUs
GPUs
APUs/SOCs
RAM
SSD


also sollte man da nicht zu viel reinlesen ;)

Fixed that for you. Nur weil AMD RAM für AMP validiert und SSDs von Toschiba/OCZ mit eigenem Brand verkauft heißt das nicht, dass sie es auch herstellen.

Was soll ich da also nicht reinlesen?

Rory Read hat gesagt 18 Monate Durststrecke bis neue "CPUs" kommen, ZDnet Korea behauptet, der LSI Häuptling hätte gesagt auch AMD lässt bei ihnen herstellen. Natürlich können das auch "nur" GPUs oder kleine Skybridge APUs sein. Jedenfalls sind es weder RAM noch SSD Flash Chips. Dafür sind andere Fertigungsprozess vorgesehen.

Akkarin
2014-10-02, 22:08:56
LSI ?

memoal
2014-10-02, 22:13:03
Naja sie sollen schon einige Änderungen an der Firmeware vorgenommen haben, aber ansonsten kommen die SSDs der Vector-Serie sehr nahe bzw. auch drüber weg.

Unicous
2014-10-02, 22:15:43
@Akkarin


Samsungs Semiconductor Sparte (Sowohl eigene Chips als auch Foundry-Sachen)

Genauer: System LSI Business. lsi steht eigentlich für large scale integration.


@memoal

AMD hat daran keine Änderungen vorgenommen. Das war OCZ.

Skysnake
2014-10-02, 23:18:28
Fixed that for you. Nur weil AMD RAM für AMP validiert und SSDs von Toschiba/OCZ mit eigenem Brand verkauft heißt das nicht, dass sie es auch herstellen.

Was soll ich da also nicht reinlesen?

Rory Read hat gesagt 18 Monate Durststrecke bis neue "CPUs" kommen, ZDnet Korea behauptet, der LSI Häuptling hätte gesagt auch AMD lässt bei ihnen herstellen. Natürlich können das auch "nur" GPUs oder kleine Skybridge APUs sein. Jedenfalls sind es weder RAM noch SSD Flash Chips. Dafür sind andere Fertigungsprozess vorgesehen.
Und wer sagt, das es dabei dauerhaft bleibt?

Und wer sagt, das es ein Logik Prozess ist, den man da bei Samsung meint?

Unicous
2014-10-02, 23:30:14
Sie nennen Apple, Qualcomm und AMD. Willst du mir jetzt sagen, die lassen alle "custom" Flash für ihre neuen SSD Reihen bei Samsung herstellen?:confused:

Ich wüsste auch nicht, wann eine "Flash-Foundry" das letzte Mal von ihrem "neuen" Prozess gesprochen hätte ohne explizit zu erwähnen, dass der Prozess eben nur auf diese ICs ausgerichtet ist.


Es bleibt dabei dauerhaft, weil AMD nicht mal ansatzweise Ressourcen oder Manpower hat, eine wirklich eigene RAM oder SSD Reihe aufzubauen. Da braucht man auch nicht drüber zu diskutieren.

Skysnake
2014-10-03, 09:14:46
Hat irgendjemand was von "custom" gesagt? Also in dem Bericht steht kein einziges Wort dazu.

Diese Pupsmeldung wird nur wieder mit Phantastereien aufgeblasen...

Wenn ich mich recht erinnere, hatte AMD nach dem Hynix-Brand GDDR5 von Samsung eingesetzt für ihre GPUs. Und soweit ich das eben verstanden habe, trat AMD gegenüber Samsung als Kunde auf, und bundelt ihre GPUs mit dem RAM, aber seis drum.

Aus einer Mücke sollte man auf jeden Fall keinen Elefanten machen. Die Meldung kann alles oder rein gar nichts bedeuten, und rein gar nichts scheint mir die deutlich vernünftigere Wahl.

Unicous
2014-10-03, 09:37:59
Ich hatte doch schon gesagt:

Ich gehe mal davon aus, dass das eher eine "Annahme" als eine konkrete Aussage ist.
(Bezogen auf die Klienten, insbesondere AMD)

Dennoch kommst du mit der Behautpung, es hätte nicht unbedingt etwas mit SoCs o.ä. zu tun. Bei Qualcomm und Apple uuund AMD denke ich wie gesagt nicht an Flash Speicher oder ähnliches sondern sofort und allein nur an SoCs(, Modems) oder CPUs.

Ob das mit der Kundschaft so stimmt ist die eine Frage. Aber es sollte doch "außer Frage" stehen, dass hier ein Prozess für komplexere ICs gemeint ist und nicht nur Flash Chips und RAM.

Ailuros
2014-10-03, 09:44:16
Nebenbei ging Samsung frueher in risk production als TSMC mit 16FF:

http://forum.beyond3d.com/showpost.php?p=1877823&postcount=287

Und auch:

https://www.semiwiki.com/forum/content/3884-who-will-lead-10nm.html

Unicous
2014-10-03, 10:01:10
Das von Nebu habe ich schon gelesen und das war ja auch theoretisch schon bekannt, aber so richtig dem Braten trauen kann ich immer noch nicht.

Das von Semiwiki sollte man jedenfalls nicht immer sooo für voll nehmen. Allein schon das Erraten TSMC's Gate Density.:rolleyes:
Die Leute verfolgen da nämlich auch ihre eigene Agenda (warum auch immer) und haben in der Vergangenheit gegen SOI gewettert. Jetzt finden sie FD-SOI (als Supplement für 28nm z.B.) gar nicht mehr so schlecht. Und Intel ist zwar immer noch toll, aber auf einmal finden sie Foundries total klasse, besonders TSMC.
Warum das auf einmal so ist, keine Ahnung.:wink:

Ailuros
2014-10-03, 10:27:56
Kommt drauf an welcher SoC Hersteller denkt und warum dass er Bandbreite braucht die um N% weniger Strom vebraucht. Schon der Gedanke ist gut genug zu bedenken dass es nicht schnell genug gehen kann.

Mich beindruckt eher dass Samsung nach den Angaben um einiges besser dasteht was density z.B. betrifft als ich sie je eingeschaetzt haette. Ich haette bis jetzt geschworen dass TSMC diesbezueglich einen deutlichen Vorteil hat. Falls aber die Angaben selbst annaehernd stimmen dann sind Apple's Gruende fuer die Rueckkehr zu Samsung wohl nicht nur von finanzieller Natur.

Was jetzt Intel betrifft: ich kann nicht bestaetigen ob die semiwiki Daten stimmen, aber ich bin nach wie vor der Ansicht dass Prozess-Technologie ein sehr nutzvolles Hilfsmittel fuer hw ist, aber eben NICHT die eigentliche Effizienz einer jeglichen Architektur definiert bzw. eine mittelmaessige Architektur 'retten' kann.

Wenn's zu ULP SoCs kommt zeig mir zumindest einen solchen von Intel der gegenueber jeglichem direkt konkurrierendem SoC besser dasteht trotz besserer Prozess-Technologie.

Skysnake
2014-10-03, 12:04:48
Ich hatte doch schon gesagt:


(Bezogen auf die Klienten, insbesondere AMD)

Dennoch kommst du mit der Behautpung, es hätte nicht unbedingt etwas mit SoCs o.ä. zu tun. Bei Qualcomm und Apple uuund AMD denke ich wie gesagt nicht an Flash Speicher oder ähnliches sondern sofort und allein nur an SoCs(, Modems) oder CPUs.

Ob das mit der Kundschaft so stimmt ist die eine Frage. Aber es sollte doch "außer Frage" stehen, dass hier ein Prozess für komplexere ICs gemeint ist und nicht nur Flash Chips und RAM.
Weil dir das jetzt in den Kram passt, da es eine OMFG-Meldung wäre, und keine Wanye-Meldung?

Nenn mit bitte einen Grund, der stichhaltig für diese Annahme ist, außer das es halt schön ware, wenn dem so wäre

Unicous
2014-10-03, 12:21:10
Es liegt auf der Hand. Alles andere ist abwegig. Samsung hat die letzten Tage z.B. auf der ARM Tech Con (laut eigener Aussage) funktionierende 14nmFF Chips präsentiert und bei einer Pressekonferenz von ihrem neuen Prozess gesprochen. Laut ZDnet sind die Kunden QC, AMD und Apple. Ihnen gemein sind SoCs, und theoretisch produzieren auch alle ARM Chips.

Wenn du anderslautende Beweise hast, kannst du sie gerne hervorbringen.

Die Nomenklatur für Flashspeicher Prozesse ist völlig anders als die "normaler" Fertigungsprozesse und iirc wollte Samsung auf "10nm" setzten nicht auf einmal wie "Kai aus der Kiste" auf ominöse 14nm.

Du kannst mich aber gerne eines besseren belehren.

Ailuros
2014-10-03, 12:37:53
Samsung steht mit 14nm nach allen Indizien alles andere als schlecht da. Sonst gilt natuerlich dass man die semiwiki Daten tatsaechlich mit einigen Schaufeln Salz geniessen sollte und man noch keine vorzeitigen Schlussfolgerungen ziehen sollte bis die fette Dame ausgesungen hat bzw. bis sich jeder Prozess erstmal selber als solcher beweisen hat.

Unicous
2014-10-03, 18:39:03
Video-Intreview mit einem Samsung PR Typen bei der TechCon:

http://hexus.net/tv/show/2014/10/Samsung_discusses_14nm_FinFET_technology_at_TechCon

Das Interview an sich ist es jetzt nicht sehr aufschlussreich aber zum Ende hin spricht er darüber, dass die Kunden gerne eine "second source" hätten. Jetzt frage ich mich ob AMD z.B. Samsung (vermutlich) als Fertiger ausgewählt, um schneller 14nm Designs am Markt zu haben. In der Vergangenheit, hat ja GF da regelmäßig versagt.

Dass QC und Apple gerne einen Zweitfertiger haben wollen liegt ja auf der Hand.

Skysnake
2014-10-04, 07:49:35
Es liegt auf der Hand. Alles andere ist abwegig. Samsung hat die letzten Tage z.B. auf der ARM Tech Con (laut eigener Aussage) funktionierende 14nmFF Chips präsentiert und bei einer Pressekonferenz von ihrem neuen Prozess gesprochen. Laut ZDnet sind die Kunden QC, AMD und Apple. Ihnen gemein sind SoCs, und theoretisch produzieren auch alle ARM Chips.

Genau, "im prinzip produzieren ALLE! ARM Chips". Auf so etwas wird es am Ende wohl auch hinauslaufen. Man hat halt das ARM Ref Design, und lässt es dann eben auch beim gleichen Hersteller fertigen.

Das hat aber erstmal nichts mit nem neuen Prozess für x86-CPUs oder dGPUs zu tun. Das kann kommen, muss aber nicht, und selbst die ARM-Geschichte mit AMD würde ich mit einer großen Prise Salz zu mir nehmen. Denn mehr als Second Source seh ich genau dafür Samsung nicht bei AMD. Die müssen/wollen wohl erstmal die GF-FABs auslasten.


Wenn du anderslautende Beweise hast, kannst du sie gerne hervorbringen.

Die Nomenklatur für Flashspeicher Prozesse ist völlig anders als die "normaler" Fertigungsprozesse und iirc wollte Samsung auf "10nm" setzten nicht auf einmal wie "Kai aus der Kiste" auf ominöse 14nm.

Du kannst mich aber gerne eines besseren belehren.
Nochmal, ich habe gesagt, das es an sich überhaupt keine Quellen für deine Aussagen gibt, sondern die reinste Spekulation/Wunschdenken sind. Das einzige Indiz ist die ARM-Geschichte, aber das ist etwas total anderes als x86/dGPU, was du ja mit deinem Posting suggeriert hast (Zumindest bei mir).

Wie ich also Beweise für die nicht existenz von Aussagen bereitstellen soll, musste mir mal erlären :freak:

@direkt den Post direkt über mir:
Das ist ja auch mit der Sinn hinter der Allianz zwischen Samsung, GF und IBM. Das man Kunden anbieten kann, dynamisch zwischen den FABs zu wechseln. Also nicht im Regen steht, wenn ne FAB abbrennt etc. oder man eben mehr Kapazitäten braucht, GENAU die eine FAB aber eben keine mehr frei hat, eine andere aber eben schon. Früher hatteste da dann einfach Pech gehabt. Mit der Allianz soll man die FAB wechseln können zwischen GF/IBM/SAMSUNG. Ob das aber auch wirklich funktioniert, und auch nur irgend jemand wirklich mal gemacht hat, ist mir nicht bekannt!

Unicous
2014-10-04, 10:52:54
Was willst du eigentlich mit dieser Diskussion erreichen?:confused:

Ich soll nicht zu viel in die Meldung hereinlesen, sagst du, und kommst mit RAM und SSDs die AMD weder fertigt noch produzieren lässt, sondern lediglich sein "Seal of Approval"(.gif) draufdrückt. Was willst du uns überhaupt sagen?

AMD lässt seit 2010 Bobcat APUs bei TSMC herstellen. Nächstes Jahr lassen sie höchstwahrscheinlich, wie auch die jetztigen Seattle ARM Chips, ihre Skybridge Chips unter TSMCs 20nm Prozess herstellen (weil GFs Prozess M.I.A ist und Samsungs Prozess anscheinend auch nicht das Gelbe vom Ei ist). Warum sollten sie dann innerhalb eines Jahres auf 14nm bei Samsung wechseln um da mit der Macht des Osborne Effekts schon neue ARM Chips fertigen? (Warum sollten sie überhaupt wechseln)

Die Logik des Ausschlusses "empfiehlt", dass es entweder GPUs oder neue (x86)APUs/CPUs sind.

Du greifst meine These mit Polemik an, aber es kommt leider nichts mit Substanz hinterher. Es ist keine "OMFG-Meldung". Es ist die Vermutung, dass Samsung früher mit 14nm fertig ist als GF, was ja keine schwierige Sache ist, denn sie haben laut eigener Aussage tapeouts seit 2012.

GF hat laut einem Repräsentanten in ihrer Fab8 mehrere tapeouts 2014 hinter sich gebracht aber ein volume ramp soll erst 2015 erfolgen.

Shubhanker revealed that fab8 is on-track with 100% physical module spec matching demonstrated. Currently matches fin, gate, eSiGe, eSiP, RMG, MoL. There is steady progress on SRAM yield and volume ramp in 2015. Multiple product and testchips have taped out. PDKs are available. MPW shuttles are available. IP support, reference flows, tech files. Yes, you can do a design.
https://www.semiwiki.com/forum/content/3895-globalfoundries-samsung-arm.html

Samsung hingegen will mit dem volume ramp schon Ende 2014 beginnen. Daher die Vermutung, dass AMD vllt. erst bei Samsung fertigt wegen TTM (time to market) und dann auf GF umsteigt, wie sie es ähnlich schon bei Kabini und den Konsolenchips gemacht haben. Nur deutlich einfacher und konstengünstiger, weil das Design 1:1 (theoretisch) übernommen werden kann.
AMD hatte mit GF immer Probleme beim Volume Ramp in den letzten Jahren, vllt. will man diesmal auf Nummer Sicher gehen.

Du schießt die Sachen ab weil sie dir nicht gefallen, aber bringst selbst keine Alternativen, die Sinn ergeben (ARM Chips@Samsung ergeben jedenfalls erst einmal keinen Sinn, siehe Skybridge Chips@20nm)

Skysnake
2014-10-04, 11:12:11
Schau mal hier: Samsung hat schon länger 16nm, nur halt nicht für logik http://www.eetimes.com/document.asp?doc_id=1323644

Und schaun wir mal hier her: http://www.commonplatform.com/

Kommt uns bekannt vor oder? Son 14nm FinFET Prozess. Ist ja auch nicht verwunderlich. Die arbeiten ja auch zusammen. Man sollte also keine Unterschiede erwarten. Wenn macht sich GF/IBM/SAMSUNG noch die extra Arbeit und validieren ihre PDKs noch genauer auf ihren jeweiligen Produktionsstätten. Es werden ja eventuell nicht 100% die gleichen Tools überall stehen.

Du sagst es doch selbst. Die FABs sind austauschbar, wo ist also die große Neuerung/Meldung? Weil da jetzt Samsung statt GF steht? Ist doch am Ende völlig egal, weil sich eben die Prozesse nicht unterscheiden. TTM kann nen Vorteil daraus ziehen, aber was haben wir also Kunden davon? Das Zeug ist da, wenn es da ist, und nur weil Samsung früher ramped heist das noch lange nicht, das AMD auch gleich am Anfang Kapazitäten bekommen würde, die irgendwo relevant wären.

Unicous
2014-10-04, 11:24:07
Willst du mich jetzt verarschen?

Was hat ein "16nm" "Flash-Speicherprozess" mit 14nm zu tun? Erkläre es mir als wäre ich nicht 12 Jahre alt und bitte ohne arroganten Unterton.

Unterstellst du ZDNet sie wären zu doof zwei Ziffern auseinander zu halten? Unterstellst du, dass Apple, QC und AMD auf einmal ins Flash-Speicher Geschäft eintreten?
Was versuchst du hier zu erreichen. Es hat jedenfalls nichts mit der Pressemitteilung von ZDNet zu tun.

Du konstruierst dir hier eine Geschichte zusammen, die hinten und vorne nicht stimmt.
Solange du nicht 16nm und 14nm unterscheiden kannst bzw. willst, erübrigt sich jedwede weitere Debatte.

Skysnake
2014-10-04, 11:33:44
Nein, ich will dir nur zeigen, das Samsung viele Prozesse hat, und viele Kunden aus ganz unterschiedlichen Bereichen. Wie ich dir ja schon gesagt hatte, trat wohl AMD bei Samsung auch direkt als Kunde für GDDR5 auf.

Und daher sollten wir die Meldung als reine Wasserstandsmeldung betrachten, und es dabei belassen. Wir wissen doch gar nicht, um was es genau geht.

Mehr will ich gar nicht sagen, ich weiß gar nicht, was es sich daran so auf zu regen gibt.

Unicous
2014-10-04, 11:46:48
Wie gesagt, solange du nicht in der Lage bist 16 und 14 zu unterscheiden, erübrigt sich jedwede Diskussion mit dir.

Skysnake
2014-10-04, 13:43:07
Hallo gehts noch?

Wie kommst du darauf, das ich 14 &16 nm durcheinander bringe? Lern bitte lesen. Ich wollte NUR! verdeutlichen, das es VIELE Prozesse bei Samsung gibt, und man daher aufpassen muss, was denn jetzt am Ende im Einzelnen gemeint ist, vor allem wenn Kunden aufgeführt werden, die eh schon lange Kunden von Samsung sind.

Ansonsten ist die Diskussion für mich hier auch beendet. MAn wird ja noch sagen können, das etwas reine Spekulation ist....

Locuza
2014-10-04, 13:51:11
Skysnake deine Argumente ergeben aber wenig Sinn in dem ganzen Kontext.
Unicous Ausführungen sind die einzig logischen, die man vom Artikel extrahieren kann.
Das es Spekulationen sind, ist auch klar.

Unicous
2014-10-04, 14:25:55
Ja, danke Skysnake. Es gibt viele Prozesse bei Samsung, die nichts miteinander zu tun haben, außer, dass sie unter dem Dach von Samsung Semiconductor stehen. Vielen, lieben Dank für die Information.

Und höchstwahrscheinlich hat der Chef auch über TSMCs 16nm Prozess gesprochen, der ja auch im nächsten Jahr startet. Oder über die Tatsache, dass 130nm immer noch für die Chipproduktion genutzt wird.

Es ist natürlich totaler Zufall, dass gleichzeitig die TechCon stattfindet und dort über 14nm gesprochen wird. Und Cheffe hat natürlich nichts anderes zu tun, als an seinen tollen "16nm" Prozess u.a. für Flash Chips zu denken, während er den Reportern mitteilt, dass sie für Apple Chips herstellen.

Das Gerücht basiert auf SoCs/Chips von QC, Apple und AMD.

Was hat die Flash-Chip Produktion damit zu tun. Was hatte dein schnippischer "AMD macht auch SSDs und RAM" Kommentar hier zu suchen?
Alles Fragen auf die es keine Antwort gibt. Aber man kann ja mal erwähnen, dass Samsung auch andere Fertigungsprozesse hat.:rolleyes:

Deine Einwände sind einfach unlogisch und durch nichts gedeckt. Weder gibt es die ZDNet Quelle her, noch geben es die Gerüchte, Entwicklungen und Realitäten der Branche her.

Niemand spricht von "16nm", aber es könnte ja sein, dass sie diesen Prozess meinten. Was soll man dazu denn noch sagen?

Skysnake
2014-10-04, 14:34:20
Und du glaubst ernsthaft, dass da was anders kommt, als das 0815 ARM-Standarddesign? Denn nur das wäre ja interessant.

Ich kann nicht dran glauben, aber bis 2015 ists ja auch nicht mehr lange hin. In max nem Jahr wissen wir ja, wie es zu verstehen war ;)

Unicous
2014-10-04, 15:08:30
Nochmal.

Die ARM Designs, als auch "kleinen" APUs werden unter 20nm gefertigt (bestätigt von Rory Read). Dafür kommen theoretisch TSMC, Samsung und GF in Frage.

TSMC fertigt seit Jahren die "kleinen" APUs (2010) und höchstwahrscheinlich den Seattle SoC unter 28nm. TSMC ist deutlich früher (produktions)fertig geworden mit 20nm. Samsung hat erst vor glaube 2 Monaten ihren ersten 20nm Exynos Chip vorgestellt (edit: und sie stellen offensichtlich auch Apple A8 her, geringe Kapazitäten für einen weiteren Kunden mMn). Zu GFs 20nm Prozess gibt es keinerlei neuen Informationen. Theoretisch könnte man aber auch hier fertigen, wenn es den Prozess überhaupt noch gibt.

Ende 2015 sollen laut Gerücht, neue QC, AMD und Apple Designs von Samsung kommen.

Es wird angenommen, dass die neuen AMD Chips (20nm) Mitte des Jahres kommen (Ich behaupte sogar schon Anfang des Jahres aber das ist meine persönliche Meinung). Digitimes behauptet nun die Chips kommen erst Ende 2015, was ich zwar für absurd halte, aber angenommen es stimmt. Dann würde ein angenommenes 20nm ARM Design mit einem 14nm ARM Design konkurrieren. Das ist großer Blödsinn.

Daher glaube ich, bleibt es wie gehabt: TSMC stellt die kleinen Skybridge APUs unter 20nm her, es folgen dann GPUs und/oder CPUs/APUs im 14nm Prozess. Und da Samsung deutlich schneller zu sein scheint als GF, könnte sich AMD darauf verständigt haben die Produktion erst einmal bei Samsung zu starten, als bei GF auf gutes Wetter und die grüne Lampe zu warten.

Was Samsungs 16nm Prozess damit zu tun hat, bleibst du uns weiterhin schuldig.

mboeller
2014-10-04, 15:43:42
TSMC ist deutlich früher (produktions)fertig geworden mit 20nm. Samsung hat erst vor glaube 2 Monaten ihren ersten 20nm Exynos Chip vorgestellt (edit: und sie stellen offensichtlich auch Apple A8 her, geringe Kapazitäten für einen weiteren Kunden mMn). Zu GFs 20nm Prozess gibt es keinerlei neuen Informationen. Theoretisch könnte man aber auch hier fertigen, wenn es den Prozess überhaupt noch gibt.


Welche 20nm CPUs oder SoCs die bei TSMC gefertigt werden sind schon auf dem Markt? Aus meiner Sicht wäre der Apple A8 der einzige, aber wenn du den Samsung zuschubst...

Unicous
2014-10-04, 16:06:26
Hmm?

TSMC und Samsung stellen 20nm Chips her. TSMC und Samsung stellen A8 Chips. "Verkaufbare" Produkte hingegen hatte meines Erachtens TSMC deutlich vor Samsung, z.B. mit den 20nm Gobi Modems von Qualcomm. Die wurden schon im Februar (an die Kundschaft) gesampled. Samsung sagt, sie liefern jetzt an Apple Chips aus. Ob sie das schon länger machen, oder vllt. genauso lange wie TSMC weiß ich nicht. Sonst gibt es eben nur den eigenen 20nm Exynos 5, der im Galaxy Alpha verbaut wird.

Samsung sagt selbst, ihr 20nm Prozess ist kurzlebig. Ich schätze da kommt außer ihren eigenen Chips und Apple Chips nicht viel mehr. Auch dieses Volumen wird in die Millionen gehen, aber überzeugt sind sie von ihrem eigenen Prozess nicht. Und ich denke auch nicht dass AMD bei Samsung fertigen lässt (20nm).

mboeller
2014-10-05, 13:06:43
Hmm?

TSMC und Samsung stellen 20nm Chips her. TSMC und Samsung stellen A8 Chips. "Verkaufbare" Produkte hingegen hatte meines Erachtens TSMC deutlich vor Samsung, z.B. mit den 20nm Gobi Modems von Qualcomm. Die wurden schon im Februar (an die Kundschaft) gesampled. Samsung sagt, sie liefern jetzt an Apple Chips aus. Ob sie das schon länger machen, oder vllt. genauso lange wie TSMC weiß ich nicht. Sonst gibt es eben nur den eigenen 20nm Exynos 5, der im Galaxy Alpha verbaut wird.

Samsung sagt selbst, ihr 20nm Prozess ist kurzlebig. Ich schätze da kommt außer ihren eigenen Chips und Apple Chips nicht viel mehr. Auch dieses Volumen wird in die Millionen gehen, aber überzeugt sind sie von ihrem eigenen Prozess nicht. Und ich denke auch nicht dass AMD bei Samsung fertigen lässt (20nm).

dachte ich mir doch...beide sind in etwa gleich weit. Das Qualcomm-Modem und die Xilinx-FPGAs die du vergessen hast kannst du glaube ich im großen Zusammenhang ruhig beiseite lassen.

Wenn Samsung sagt, das der 20nm Prozess kurzlebig ist heißt das noch lange nicht das sie spät dran sind sondern nur das die in sehr schnell durch 14nm-Technologie (ist ja in etwa 20nm + Finfet) ersetzen werden... Und wir sind uns ja glaube ich alle einig das der 20nm Prozess ohne FinFets keine großen Verbesserungen im Vergleich zu 28nm bringt, abgesehen von den höheren Kosten.

Unicous
2014-10-05, 13:41:38
Ich will mich jetzt wirklich nicht darüber streiten aber ich denke wirklich, dass Samsung TSMC eins, vllt. sogar zwei Quartale hinterhinkt. Und das ist im Foundry Business nicht zu verachten. Es entscheidet im Zweifelsfall, ob der Konkurrent den Zuschlag bekommt oder du dich z.B. nur mit 30% des Volumens zufrieden geben musst.

Von Samsung kamen über das Jahr keinerlei Erfolgsmeldungen für ihren 20nm Prozess und wie du richtig sagst, Ende 2013 gab es bereits Chips von/für Xilinx. Den hatte ich ausgelassen, weil FPGAs ja dann doch eher zum Sandkuchenlevel bei der Chipproduktion tendiert, Breitband-Modems aber schon ein bißchen komplizierter sind.

TSMC soll schon im März mit der A8 Produktion begonnen haben, Samsung Semiconductor Geschäftsführer sagte bei ZDNet once the company begins to supply Apple with chips using its latest technology, profits "will improve positively".
Das kann natürlich vielfältig interpretiert werden. Und er könnte auch 14nm meinen (leider gibt es kein Transkript der PK oder einen ähnlichen Report) aber für mich klingt das deutlich nach, Mist wir haben es versemmelt und hoffen wenigstens noch die Brotkrumen aufzusammeln.


Aber: Bei FinFET scheint Samsung deutlich schneller zu sein und hat wie TSMC (IMHO) ein mindestens 2 Quartale, vllt. sogar 3 Quartale umfassendes Fenster für die FinFET Produktion. TSMC könnte hier wie auch früher schon vorgekommen, etwas tiefer stapeln um einen kleinen Überraschungseffekt zu generieren, wenn sie dann doch schneller sind, aber ich bezweifle es.

Interessant ist zudem wie GlobalFoundries da reinspielt. Denn sie stehen ja in klarer Abhängigkeit zu Samsung, zumindest für die nächsten 2,3 Jahre. Was bei 10nm passiert ist weiterhin offen. Auf jeden Fall können sie mE die Lücke zu Intel Stück für Stück, Quartal für Quartal schließen. TSMC investiert kräftig und Samsung auch. (ARM und TSMC haben ja gerade erst eine 10nmFF roadmap vorgestellt) Vllt. profitiert davon auch GF, wenn Samsung sie wieder Huckepack nimmt... oder ist es eher Sacklaufen?:tongue:

Skysnake
2014-10-05, 13:57:48
Von Samsung kamen über das Jahr keinerlei Erfolgsmeldungen für ihren 20nm Prozess und wie du richtig sagst, Ende 2013 gab es bereits Chips von/für Xilinx. Den hatte ich ausgelassen, weil FPGAs ja dann doch eher zum Sandkuchenlevel bei der Chipproduktion tendiert, Breitband-Modems aber schon ein bißchen komplizierter sind.


Na jetzt übertreibste aber. Heutige High-End FPGAs sind nicht ohne 10+GBit SerDes, mächtige On-DIE-Interconnects, PCI-E 3.0 und dann teils auch noch ARM-Cores. Also "simpel" ist was anders.

Klar, bei den winzig kleinen einsteiger FPGAs gebe ich dir durchaus recht, die sind recht simpel, oder zumindest simpler als ein Breitband-Modem, was vor allem halt ein Analogdesign ist, aber die High-End FPGAs stehen da nicht wirklich zurück.

Unicous
2014-10-05, 14:03:40
Na ein Glück hängst du dich an meiner offensichtlichen, durch das Wort "Sandkuchen" sehr subtil markierten, Übertreibung auf.:rolleyes:

Es war ein Scherz, dennoch sind FPGAs zum Großteil leichter zu produzieren, als Modems im Allgemeinen und SoCs im Speziellen.

Jedenfalls kamen von Samsung keine Meldungen dahingehend, darauf wollte ich eigentlich hinaus.

:confused:

Jetzt behauptet Daniel Nenni von Semiwiki, dass Samsung überhaupt gar keine 20nm Chips an Apple liefert?

Bottom line: Samsung is NOT supplying 20nm A8 parts to Apple. Show me an iPhone or iPad tear down that proves otherwise and a new iPad is yours.

The second rumor is that Samsung won the Apple business for 14nm. As I mentioned above, Apple will use both Samsung and TSMC for the next generation of iProducts in 2015 so this is a half-truth. To me a half-truth is also a half-lie and I have a serious problem with that especially coming from a publicly traded company. Samsung should publicly clarify that it is NOT supplying 20nm wafers to Apple and they are NOT the only vendor supplying Apple FinFET wafers in 2015. Transparency inspires trust, right?
https://www.semiwiki.com/forum/content/3898-apple-samsung-tsmc-intel-14nm-mashup.html

Er sagt auch noch etwas zu 10nm:

In regards to Intel Custom Foundry, being stuck between Samsung and TSMC in a wafer price war is no way to start your day. Given that TSMC 10nm is on track with Intel Foundry 10nm (remember Intel Foundry has yet to ship production 14nm) and Samsung is a close third, 10nm will be another serious pricing challenge. And let’s not forget that GOLBALFOUNDRIES and IBM are also in the10nm hunt. Competition is for the greater good of the fabless semiconductor ecosystem, absolutely.

Leider, leider hat Daniel Nenni bzw. SemiWiki nicht so einen geilen Track Record und gerade Nenni labert gerne dumm daher.

Kriton
2014-10-05, 17:11:48
Ruhig Brauner :wink:

Ich habe das genauso gelesen/verstanden wie Skysnake.

Unicous
2014-10-05, 17:16:41
Ähm, was?

Skysnake
2014-10-07, 12:53:25
Bei der Arbeit bin ich ueber eine recht interessante Presentation von ARM gestolpert, die hier sicherlich auch den einen oder anderen auch sehr spannend finde duerfte.

http://www.google.de/url?sa=t&rct=j&q=&esrc=s&source=web&cd=1&cad=rja&uact=8&ved=0CCUQFjAA&url=http%3A%2F%2Fwww.ispd.cc%2Fslides%2F2014%2Fkeynote_Aitken.pptx&ei=FLMzVPmHJ8jFPZKZgJgK&usg=AFQjCNEyAtm_y-Wrf7P0211pz2kZqYb5mQ&bvm=bv.76943099,d.ZWU

Vielleicht versteht man so etwas besser, warum die neuen Prozesse nicht mehr das liefern, was man erwartet, bzw. warum es immer schwieriger wird fuer einen Designer einen echten Nutzen aus der kleineren Fertigung zu ziehen.

mboeller
2014-10-07, 19:29:45
seltsamer link...ja ich weiß google ist schuld. Ich wundere mich auch immer wieder. Der direkte Link ist aus meiner Sicht besser, da die Webseite noch jede Menge zusätzliche Informationen hat:

http://www.ispd.cc/slides/2014/keynote_Aitken.pptx

...ich verstehe, wie wahrscheinlich der Großteil der Leute hier aber nur Bahnhof wenn es wie in der Präsentation ins Eingemachte geht. :)

Das einzige was ich wirklich gut verstanden habe ist, dass die "einfachen" Skrink-Zeiten vorbei sind. Die Komplexität steigt massiv an und der Ertrag ist anscheinend eher fragwürdig.

mboeller
2014-10-07, 19:44:11
Der Apple A9 wird angeblich wieder bei Samsung hergestellt. Bei 14nm scheint also Samsung vorne zu sein:

http://www.heise.de/newsticker/meldung/Apple-Samsung-soll-14-Nanometer-A9-Chip-bauen-2412604.html

fondness
2014-11-13, 17:48:06
Laut FUAD ist 20nm für GPUs tot:
http://www.fudzilla.com/home/item/36284-20nm-node-broken-for-gpus

Unicous
2014-11-13, 17:56:47
:rolleyes:

Habe ich auch schon gelesen. Hört sich für mich nach... wait for it... FUD an.

D.h. nicht, dass nicht stimmen muss, also die Aussage, dass es keine 20nm GPUs gibt, aber nicht wegen der unfundierten Gründe die der gute FUaD anbringt.

20nm ist zu spät, 16nmFF+ verspätet sich definitiv (Q1 war mal angedacht Juli ist theoretisch und praktisch gesehen schon Q3), und 28nm ist wenigstens ausgereift.

Broken for GPUs ist einfach Blödsinn. Und da er noch nicht mal "Quellen":rolleyes: angibt kann man davon ausgehen, dass sein Mastdarm den Artikel geschrieben hat.

Zero-11
2014-11-13, 23:16:27
Komisch war da nicht was mit der 20nm Xbone?

N0Thing
2014-11-14, 00:46:01
Ja, aber darin werkelt ja ein SoC und nicht eine dedizierte GPU. ;)

Zero-11
2014-11-14, 02:20:20
Und was hat das jetzt mit dem Fertigungsprozess zu tun?

AffenJack
2014-11-14, 08:01:05
20nm bringt dir ne große Diesizereduktion, aber kaum Stromersparniss. Für Konsolen ist das Ok, da MS die Kosten runter bringen will. Bei Grafikkarten rechtfertigt das aber den Aufwand nicht.

Ailuros
2014-11-14, 08:15:53
20nm bringt dir ne große Diesizereduktion, aber kaum Stromersparniss. Für Konsolen ist das Ok, da MS die Kosten runter bringen will. Bei Grafikkarten rechtfertigt das aber den Aufwand nicht.

Da aber 20SoC immer noch um ca. 60% teurer sein sollte als 28nm, frage ich mich ernsthaft wo die Reduzierung der Kosten genau stattfindet :rolleyes:

AffenJack
2014-11-14, 08:23:55
Diesize wird von 360mm² auf <200mm² reduziert. Yield mag mit dem Waferpreis die Kostenreduktion im Moment noch auffressen, aber es heißt ja auch nicht, dass der Chip sofort kommt. Yield wird besser werden und 20nm wird im Preis ab Mitte 2015 auch deutlich sinken, wenn sich Apple usw 16/14nm zuwenden.

robbitop
2014-11-14, 11:04:54
Zumal sicher 20 nm nicht nichts einspart, sondern nur weniger als ein üblicher Shrink. Bedeutet, dass man ggf. auch die Stromversorgung und Kühlung etwas billiger machen kann(gerade bei Konsolen) und damit das Gesamtprodukt etwas billiger. Die Waferkosten werden im Verlauf des Lebenszyklus des Prozesses schon etwas sinken. Abschreibung und Lernkurveneffekte.

Ailuros
2014-11-14, 13:30:24
Diesize wird von 360mm² auf <200mm² reduziert. Yield mag mit dem Waferpreis die Kostenreduktion im Moment noch auffressen, aber es heißt ja auch nicht, dass der Chip sofort kommt. Yield wird besser werden und 20nm wird im Preis ab Mitte 2015 auch deutlich sinken, wenn sich Apple usw 16/14nm zuwenden.

Weniger als 200mm2 heisst eben noch nicht 50% weniger Flaeche, wobei man die yields schon gar nicht mitberechnen muss damit man sieht dass man den um >60% hoeheren Preis nicht aufgeschluckt hat. Und ja natuerlich gilt all dass nur fuer die heutigen Verhaeltnisse.

N0Thing
2014-11-14, 13:47:07
Gibt ja noch die Aspekte Kühlung und Stromversorgung, die bei einem 20nm SoC kleiner/günstiger ausfallen können. Bei der großen Anzahl an Geräten kann sich das vielleicht lohnen.

Ailuros
2014-11-14, 16:54:12
Gibt ja noch die Aspekte Kühlung und Stromversorgung, die bei einem 20nm SoC kleiner/günstiger ausfallen können. Bei der großen Anzahl an Geräten kann sich das vielleicht lohnen.

http://www.forum-3dcenter.org/vbulletin/showpost.php?p=10422481&postcount=229

Unicous
2014-11-18, 16:04:40
Laut Korea Times ( hat iirc nicht den besten track record) produziert Samsung 2016 80% der zukünftigen Ax-Chips.

GlobalFoundries soll demnach second source sein.

http://www.koreatimes.co.kr/www/news/tech/2014/11/133_168259.html

Production of the APs will start early next year at Samsung's local factory in Giheung, Gyeonggi Province, and the volume will grow as Samsung plans to use its facilities in Austin, Texas and the GF-owned factory in New York for increased output, another source said.

john carmack
2014-11-18, 20:40:55
Hi,

was denkt ihr ist der nächste Schritt für AMD und NV?
20nm oder 16nm und wann werden wir die ersten Karten sehen?

Duplex
2014-11-18, 21:11:17
20nm lohnt sich im Blick auf die Effizienz nicht.

> 16nm, Q2/16

john carmack
2014-11-18, 21:25:03
20nm lohnt sich im Blick auf die Effizienz nicht.

> 16nm, Q2/16


bleiben wir noch über 1 1/2 Jahre auf 28nm sitzen?
(Ich war eigentlich der Meinung das die aktuellen GPUs die letzten in 28nm sein werden...)

Dann würden wir insgesammt 4 1/2 Jahre mit 28nm Chips arbeiten?

Wo ist die schöne Zeit hin als wir noch jedes Jahr 80% - 90% Leistungssteigerungen hatten... ;(

Duplex
2014-11-18, 21:33:01
Das steht doch schon die ganze Zeit fest, der Hawaii Nachfolger kommt in 28nm und GM200 kommt auch in 28nm und 1 Jahr danach kommt dann 16nm von beiden.
20nm wird ausgelassen, zumindest im GPU Markt, den Prozess gibt es schon länger, Apple hat Gerüchterweise bereits genug 20nm ARM Chips von TSMC erhalten, aber im High Performance Bereich scheint dieser Prozess nicht interessant zu sein.
Wenn der Prozess für High End tauglich wäre, dann wäre AMD schon längst vorreiter auf diesem Gebiet gewesen, genauso wie damals zu 40nm Zeiten (RV740, RV870). Die Überbrückung auf HBM hat nichts mit 28/20/16nm zu tun, ein neuer Speicher Standard wird unabhängig vom Prozess entwickelt.

Nakai
2014-11-19, 22:39:54
Gibt ja noch die Aspekte Kühlung und Stromversorgung, die bei einem 20nm SoC kleiner/günstiger ausfallen können. Bei der großen Anzahl an Geräten kann sich das vielleicht lohnen.

Neuere Speichertechnologien, wie HBM, HMC, welche auch direkt auf dem Substrat untergebracht sind, könnten Versorgung und Kühlung auch noch positiv beeinflussen. Irgendwann wird HBM überall sein. Spätestens mit Finfet gibt es einen abartigen Sprung, den wir schon lange nicht mehr gesehen haben.

TSMC 16FF:
+65% höhere Geschwindigkeit und 2fache Dichte
ODER
70% weniger Verbrauch

gegenüber 28 HPM

Es ist gut, dass die GPU-Hersteller mal gezwungen werden, ihren Verbrauch zu reduzieren.

woodsdog
2014-11-20, 08:11:27
TSMC 16FF:
+65% höhere Geschwindigkeit und 2fache Dichte
ODER
70% weniger Verbrauch

gegenüber 28 HPM

Es ist gut, dass die GPU-Hersteller mal gezwungen werden, ihren Verbrauch zu reduzieren.

Wo wird da wer zu was genau gezwungen?

Die können damit genau so 300W Karten bauen wie jetzt auch...

Loeschzwerg
2014-11-20, 08:33:52
Weil man gezwungen ist länger auf 28nm zu setzen, muss man zwangsweise an der Effizienz schrauben um mehr Leistung herauszukitzeln.

Wäre 16nm verfügbar würde das Thema nach hinten rücken.

john carmack
2014-11-20, 08:44:02
Weil man gezwungen ist länger auf 28nm zu setzen, muss man zwangsweise an der Effizienz schrauben um mehr Leistung herauszukitzeln.

Wäre 16nm verfügbar würde das Thema nach hinten rücken.

richtig!

In den letzten Jahren wurde mehr geschwindigkeit, immer auch durch mehr verbrauch "erzwungen". (zumindest bis zur 5870/480 Gen.)
Ich frage mich ob es nicht langsam Zeit für einen neuen Formfaktor oder ähnliches wäre, um die Chips besser kühlen zu können.

Allgemein ist beim Computer Layout (ATX) in den letzten 20 Jahren fast nix passiert!

woodsdog
2014-11-22, 07:30:00
ich versteh aber immer noch nicht, was eine höhere Effizienz bei gleichem X Watt Verbrauch mit "Zwang zur Reduzierung" zu tun haben soll. Da wird GAR NIX reduziert.

Dann kann die neue Karte halt 20 FPS mehr rendern in dem selben Power limit von z.B. 300 Watt. So what. Das war doch schon immer so. Mal mehr durch Effizienz, mal mehr durch die Fertigung.

Ich begrüße selbstverständlich die aktuelle Effizienzsteigerung... Aber hier wird suggeriert in 16nm gäbe es eine neue Grenze oder so - was schlicht nicht der fall ist.

grobi
2014-11-22, 09:04:29
Es ist auch unbegreiflich warum die GPU bis heute nach unten zeigt und nicht nach oben. Das würde doch kein problem sein und hätte sich mit dem jetzige ATX-Standard auch umsetzen lassen.

RavenTS
2014-11-22, 17:38:13
Mit dicken Kühlern sowohl auf GPU als auch CPU, dürfte da sehr schnell der Platz sehr eng werden!

ndrs
2014-11-22, 18:14:37
Soweit ich weiß untersagen die Spezifikationen für den Formfaktor von Einsteckkarten, dass Bauteile nennenswert in die andere Richtung hinausragen. Quelle finde ich natürlich grad nicht :(

Die Diskussion über den idealen Formfaktor im Sinne der Wärmeabfuhr hatten wir aber schonmal.

y33H@
2014-11-26, 08:14:43
Samsungs 14nm FinFET ist in mass production: http://www.golem.de/news/auftragsfertiger-samsung-startet-serienproduktion-des-14-nm-finfet-prozesses-1411-110792.html

Skysnake
2014-11-26, 08:41:17
Schick schick. Bin mal gespannt, wann erste Produkte wirklich am Markt verfügbar sind.