Anmelden

Archiv verlassen und diese Seite im Standarddesign anzeigen : Chipfertigung: 10 FF, EUV, 7 nm, 5 nm, 3 nm, GAF, ...


Seiten : 1 2 3 [4] 5 6 7 8 9 10 11 12 13 14 15 16 17

HOT
2018-09-26, 12:37:43
Vielleicht kommt Navi im 7nm Mobile und nicht im 7nm HPC Node. Das würde eine deutlich höhere Packdichte ermöglichen (ca. 3x gegenüber 14nm GloFo) als auch potentiell stromsparender sein. Dafür ist der Chip dann konservativer getaktet. 4096 Shader @ 1.5 GHz @ 100-120W @ 220mm2 wäre ziemlich potent für einen kleinen Mainstream Chip.
Das glaub ich nicht. Kennst du irgendwelche GPUs von NV und AMD in LP-Prozessen? Mir fällt da keine ein. V20 kommt ja auch im HPC-Node.

Ich vermute, man macht nur Navi10 in DUV und nutzt N14 als Pipecleaner für den EUV-Prozess, wie bei V20, bevor man mit Vermeer weitermacht. Die werden für möglichst viele Produkte den gleichen Prozess nutzen. Ohne Konsolen sind das sicherlich 8-12 Produkte, die in 7nm, mit oder ohne EUV, kommen von AMD bis 2022. Semi-Custom sind dann noch mal 2-4. Mehr als das nötigste werden die aber nicht DUV HPC machen, also V20, N10, Matisse und Renoir, das wars.
Etwas abseits davon sind die Konsolen-SoCs, hier könnt ich mir sogar vorstellen, dass man Samsung 7LPP nutzt, ganz einfach, weil die schneller sind als TSMC mit EUV.

basix
2018-09-26, 14:53:12
Das glaub ich nicht. Kennst du irgendwelche GPUs von NV und AMD in LP-Prozessen? Mir fällt da keine ein. V20 kommt ja auch im HPC-Node.

Ich habe mal Samsung 14nm LPP für GP107 und GP108 im Kopf ;)

Hier eine Gegenüberstellung der 14nm Prozesse:
https://en.wikichip.org/wiki/14_nm_lithography_process

HOT
2018-09-26, 15:01:54
14LPP ist auch Polaris und Vega... und Summit/Raven Ridge. Allerdings sind die Grenzen mit FinFETs eh schwammig geworden. Trotzdem macht TSMC da bei 7nm ne Unterscheidung.

Platos
2018-09-26, 17:54:56
Wie gross werden die Chips bei 7nm Threadripper eig. ? Also von der Kerne-Anzahl. Gibt es dazu Infos ?

Und sind dann die (spekulierten) 16 Kerner bei den consumer CPUs auch aufgeteilt ?

Skysnake
2018-09-26, 20:59:31
Kannst du dazu nochmal etwas ausführlicher werden?

In welche Richtung?

Also ein Beispiel für mehr Freiheit ist das man mit EUV wieder 2d Routen kann. Also L förmige Wires designed kann. Ohne euv sind das in den aktuellen nodes nur noch 1d Routings. Man definiert da nur noch wo man die Wires unterbricht aber nicht mehr 2d Strukturen

Eldoran
2018-09-26, 22:12:44
Beispiel mit Bildern https://fuse.wikichip.org/news/1479/vlsi-2018-samsungs-2nd-gen-7nm-euv-goes-hvm/3/
Wenn man wieder 2D Strukturen abbilden kann, kann man auch so Sachen machen, wie etwa unterschiedlich dicke Leitungen etc. oder etwa bei nanosheet FET unterschiedlich breite nanosheet.
Aktuell ist aber eher das interessante, dass man eben für L oder T Förmige Strukturen keine Verrenkungen machen muss. Man muss auch dazu sagen, dass EUV bei 7nm etwa bei TSMC oder Samsung (oder bei GF vorher geplant) in unterschiedlichen Bereichen eingesetzt wird. So wie ich das verstanden habe, wäre Samsung der erste, der EUV auch für die Transistoren einsetzen will.

Ravenhearth
2018-10-10, 17:28:11
TSMC: First 7nm EUV Chips Taped Out, 5nm Risk Production in Q2 2019 (https://www.anandtech.com/show/13445/tsmc-first-7nm-euv-chips-taped-out-5nm-risk-in-q2)
TSMC initiated high-volume manufacturing of chips using its first generation 7 nm fabrication process (CLN7FF, N7) in April. N7 is based around deep ultraviolet (DUV) lithography with ArF excimer lasers. By contrast, TSMC’s second-generation 7 nm manufacturing technology (CLN7FF+, N7+) will use extreme ultraviolet lithography for four non-critical layers, mostly in a bid to speed up production and learn how to use ASML’s Twinscan NXE step-and-scan systems for HVM. Factual information on the improvements from N7 to N7+ are rather limited: the new tech will offer a 20% higher transistor density (because of tighter metal pitch) and ~8% lower power consumption at the same complexity and frequency (between 6% and 12% to be more precise).

Also erste Produkte Ende 2019, PC-Chips und Konsolen vielleicht 2020?

aceCrasher
2018-10-11, 00:47:14
Können wir davon ausgehen, dass wenn Zen 2 auf 7FF kommt, Zen 2+/3 auf 7FF+ kommt 2020? Selbst wenn Intel Ende 2019 mit ihrem 10nm mit TSMCs "gleichziehen", könnte AMD mit 7nm EUV wieder einen, wenn auch kleinen, Prozessvorteil haben. 10% power reduction und 17% area reduction von 7FF auf 7FF+ sind schließlich nicht zu vernachlässigen, gerade wenns um Effizienz geht.

Ravenhearth
2018-10-11, 01:10:29
In Zusammenhang mit Zen 3 sowie den "Next Gen"-GPUs in 2020 sprach AMD bereits von "7nm+", damit kann eigentlich nur EUV gemeint sein.

Ravenhearth
2018-10-18, 13:23:01
Intel will Fertigung umstrukturieren: Neue Hoffnung für 10 nm? (http://www.pcgameshardware.de/CPU-CPU-154106/News/Intel-will-Fertigung-umstrukturieren-1267409/)
Wenn der aktuelle Leiter der Fertigung von Intel kommenden Monat in Rente geht, wird das Unternehmen die Sparte umstrukturieren. So sollen drei Häppchen gebildet werden, die von drei Managern geleitet werden, und die einer Position unterstehen, bei der die Fäden zusammenlaufen.

Pirx
2018-10-22, 13:44:06
Charlie: Gibt Intel 10 nm jetzt völlig auf?

https://semiaccurate.com/2018/10/22/intel-kills-off-the-10nm-process/

y33H@
2018-10-22, 13:58:22
Die Headline lautet "Intel kills off the 10nm process" ...

robbitop
2018-10-22, 14:49:30
Der Nachfolgeprozess könnte bei der Verspätung, die wir jetzt schon haben, fast die bessere Wahl sein, wenn dieser nicht so verkorkst ist.

mboeller
2018-10-22, 15:03:18
kann man einschätzen bis wann ein "7nm" Prozess oder gar ein "5nm" Prozess kommen könnte?

Relic
2018-10-22, 15:04:03
Wenn das stimmt und wird vor Ende 2020 nichts neues von Intel kommen. 10nm war ja grade mal für Ende 2019 angekündigt. Mal sehen was 14nm++++ noch rausholen kann ;)

HOT
2018-10-22, 15:07:22
Passt zur Roadmap. Kein Icelake mehr.

DeadMeat
2018-10-22, 15:15:15
Gab es nicht erst vor kurzem die Meldung das Intel sogar wieder vor dem Zeitplan lag und daraufhin die Aktie hochging?

BlackBirdSR
2018-10-22, 15:20:00
Dann würde man den Markt ab 2020 aus der Fab42 versorgen..

Wenn es dort so gut läuft, warum nicht?

HOT
2018-10-22, 15:23:57
Man wird denke ich jetzt eh nicht alles bis 7nm "überspringen", sondern man wird die Entwicklung zusammenführen zu einem "10nm" EUV-Prozess gewissermaßen ohne die ganzen Bauchschmerztechnologien mMn. Man braucht ja jetzt so schnell wie möglich was funktionierendes.
Die Seite scheint offline übrigens.

mczak
2018-10-22, 15:45:49
Die Hälfte des Artikels ist leider hinter Paywall.
Die grosse Frage ist was anstelle 10nm kommt. Meine Vermutung war ja auch schon dass das mit dem jetzigen 10nm nichts mehr wird (wenn der Prozess quasi 2 Jahre "fertig" ist und immer noch nicht funktioniert ist liegt das ja wirklich auf der Hand). Meine Vermutung wäre aber dass da anstelle ein "10nm+" Prozess kommt - wie auch immer der dann aussieht (denke aber nicht dass der gross dichter gepackt ist). Icelake war vermutlich eh schon immer nicht auf demselben Prozess wie Cannonlake geplant?

AffenJack
2018-10-22, 16:03:07
Meine Vermutung wäre aber dass da anstelle ein "10nm+" Prozess kommt - wie auch immer der dann aussieht (denke aber nicht dass der gross dichter gepackt ist). Icelake war vermutlich eh schon immer nicht auf demselben Prozess wie Cannonlake geplant?

Macht einfach bald kein Sinn mehr, bei den ganzen Verschiebungen. Anscheinend war man lange der Meinung, dass man die Probleme in 10nm noch löst, was nicht geklappt hat. Nun müsste man einen neuen Prozess designen, was ne Menge kostet und dauert. Da 7nm aber eh parallel entwickelt wird sollte man 2021 auf jeden Fall 7nm bereit haben.

Piefkee
2018-10-22, 16:08:27
Übersprungen wird gar nichts...
Der ursprüngliche 10nm Prozess wird verworfen. Intel wird eine Art (10-12nm) Prozess bringen und ihn direkt als 7nm vermarken, was im übrigen alle anderen auch machen.

Dann gibt es 7nm TSMC = 7nm Intel.

Unicous
2018-10-22, 16:16:29
Sagt wer?:confused:

HOT
2018-10-22, 16:20:06
Das ergibt sich aus der Logik, immer vorausgesetzt das stimmt auch natürlich. Intel wird etwas weiter nach unten skalieren, das Kobalt auf die Interconnects beschränken und einiges anderes ebenfalls eher klassisch lösen, EUV einsetzen um die Scannerprobleme zu umgehen und "schon" (also 2021) ist der 7nm-Prozess fertig.

2019 gibts erst mal den Coffeelake-Refresh und Cascade, 2020 dann Coffeelake re-Refresh (komplettes Lineup dann mit SMT) und Cooperlake und 2021 dann die post-Core-Architektur im neuen Prozess.

Piefkee
2018-10-22, 16:23:31
Sagt wer?:confused:


Charlie...

Aber das macht doch auch nur Sinn? Marketing macht ja auch Sinn. 10nm ist fast gleichzusetzen mit 7nm (TSMC).

Der jetzige 10nm aka Cannon Lake ist mM "broken". Warum auch immer, aber sieht man recht schön an dem PR Launch von Cannon Lake im Y.

Direkt auf 7nm der 2,4x gegenüber 10nm bringen soll kann nicht in nächster Zeit gerechnet werden. Also biegt man 10nm so hin, dass er funktioniert. Charlie spricht ja seit längeren das Intel an einen neuen 10nm arbeitet der eher ein 12nm ist.

Jetzt killt man 10nm öffentlich und sagt man geht direkt auf 7nm. Aber in wirklichkeit ist dieser neuer 7nm Intel Prozess gleichzusetzen als der 7nm TSMC.

Würde drauf wetten, dass es so kommt :cool:

Unicous
2018-10-22, 16:25:57
Nein, das sagt Charlie nicht. Was du daraus interpretierst ist eine andere Sache und solltest du auch so deklarieren. Oder hast du Zugriff zum Rest des Artikels? Ich glaube nicht, Tim.:rolleyes:

mczak
2018-10-22, 16:29:36
Kann gut sein dass intel das am Ende 7nm nennt obwohl es 10nm+ heissen müsste (bezogen auf die eigene Namensgebung), also der nicht dichter gepackt ist als der nicht brauchbare 10nm Prozess.
Dass ein Prozess nicht funktioniert ist ja eigentlich gar nicht so selten, TSMC hatte in jüngster Vergangenheit 2 davon, das waren allerdings bloss half-nodes bei denen man schon zuvor wusste wie die Probleme zu lösen sind (32nm wurde gecancelt, dem fehlte HKMG, und die 20nm planar waren auch katastrophal obwohl man da tatsächlich Chips in Massenproduktion herstellen konnte), das hat also die allgemeine Roadmap nicht beeinflusst.

Piefkee
2018-10-22, 16:30:28
Nein, das sagt Charlie nicht. Was du daraus interpretierst ist eine andere Sache und solltest du auch so deklarieren. Oder hast du Zugriff zum Rest des Artikels? Ich glaube nicht, Tim.:rolleyes:

https://www.semiaccurate.com/2018/08/02/intel-guts-10nm-to-get-it-out-the-door/

How much of a step backwards? Several of SemiAccurate’s moles are saying it is effectively a 12nm process rather than a 10nm process, and the technical changes more than back that claim up. Don’t expect this to ever be publicly admitted to, it is still ’10nm’ and always will be even if the tech doesn’t back that name up.

Leonidas
2018-10-22, 16:39:30
Sehe das als Spekulation. Charlie spekuliert einfach munter los - und hofft, gegenüber dem Analystcall in 3 Tagen einen Treffer zu landen. Liegt er richtig, gilt er als Prophet, liegt er falsch, vergisst das Internet schnell. Aber Kaffeesatzleserei isses trotzdem.

Die Frage ist doch eher, für was Intel 10nm braucht?! 22nm und 14nm wurden sicherlich nicht dafür benutzt, mehr Takt im Desktop herauszuholen. Es gab (relativ) kleinere Chipflächen und natürlich auch mehr Takt unter Mobile. Wobei man dabei inzwischen auf einem sowieso grenzwertigen Niveau ist - vermutlich würden selbst perfekte 10nm nicht wirklich mehr Takt ergeben, da limitieren andere Dinge.

Ergo: Es geht primär um den Flächenvorteil. Hier kann Intel sein Steckenpferd der günstigen Chip-Fertigung reiten, einfach durch (relativ) kleinere Chipflächen. Deswegen wird man auch weitermachen an 10nm, egal ob die technischen Parameter schlechter sind. Diesen Punkt wird man einfach nicht aus der Hand geben wollen. Und da TSMC 7nm kann, bedeutet das auch, das es wirklich geht. Wieso sollte Intel das nicht können, was TSMC kann?

Sprich: 10nm abzusägen dürfte kaum passieren. Die Speku von Charlie haut wohl daneben.

Unicous
2018-10-22, 16:42:35
@Piefkee

Da steht, dass Intel den 10nm Prozess angepasst hat, nicht dass sie den "neuen" 10nm Prozess als 7nm vermarkten, hör bitte auf deine eigenen Spekulationen mit denen von Charlie zu vermischen.:rolleyes:


edit:

@Leonidas

Das ist einfach nur Unsinn. Charlie wusste seit Jahren, dass etwas mit dem Prozess nicht stimmt. Du tust so als hätte er all die Jahre bullshit gelabert und dann ist es zufälligerweise doch so eingetreten.:rolleyes:

Leonidas
2018-10-22, 16:50:31
Ich will überhaupt nicht behaupten, er hätte all die Jahre Unsinn gelabert. Warum sollte ich das behaupten wollen?

Ich sage: Er macht (hier in diesem Fall) eine Wahrsager-Vorhersage. Trifft sie zu, ist er der Held. Geht sie daneben, erinnert sich 3 Sekunden später keiner mehr dran.

Dies ist meinerseits natürlich auch nur eine Spekulation. Wofür wir im richtigen Forum sind ...

Piefkee
2018-10-22, 16:52:05
@Piefkee

Da steht, dass Intel den 10nm Prozess angepasst hat, nicht dass sie den "neuen" 10nm Prozess als 7nm vermarkten, hör bitte auf deine eigenen Spekulationen mit denen von Charlie zu vermischen.:rolleyes:



Richtig das ist auch ein alter Artikel vom August. Der heutige sagt das "10nm Intel is dead".

Wie man das auch immer sagen mag der alte, geplante 10nm wird nicht kommen. Jetzt bringt man (Speku) den neuen 10+ Prozess raus. Dieser wird aber nicht 10nm heißen. Sondern 7nm für das Marketing.

Erst die Meldung um die Umstrukturierung der Fertigung jetzt die 10nm. Am Freitag ist Q3 ER. Diese Entscheidungen wird der interim CEO nicht getroffen haben. Ergo wird am Freitag ein neuer CEO vorgestellt die Fertigungs-Neuausrichtung entschieden hat sowie den 10nm einzustampfen.

mboeller
2018-10-22, 16:57:40
Sehe das als Spekulation. Charlie spekuliert einfach munter los - und hofft, gegenüber dem Analystcall in 3 Tagen einen Treffer zu landen. Liegt er richtig, gilt er als Prophet, liegt er falsch, vergisst das Internet schnell. Aber Kaffeesatzleserei isses trotzdem.


Charlie lebt aber nicht mehr von Clicks sondern von seinen Abonnenten. Die zahlen zum Teil sehr viel Geld für ein Abo. Was glaubst du was passieren würde wenn er denen nur Bullshit erzählt...


Subscriptions Professional

Pricing is $1,000 for a year’s worth of access


https://semiaccurate.com/subscribe/

Unicous
2018-10-22, 16:59:00
@Leonidas

Er behauptet dafür Quellen zu haben. Und auch wenn Charlie oft auch mal daneben liegt, oder die Dinge nicht exakt so eintreffen wie "vorausgesagt"(weil das Unternehmen vllt. sogar die road map still und leise geändert hat) bedeutet das nicht im Umkehrschluss, dass er lediglich spekuliert. Irgendetwas wird schon passiert sein, wie nahe er an der Wahrheit liegt werden wir im Übrigen nicht unbedingt in den nächsten Tagen erfahren, wüsste nicht warum Intel das tun würde, außer sie würden tatsächlich zum Earnings Call den neuen CEO "ausrufen", was eher unwahrscheinlich ist. Das könnte in der Tat ein Geschenk an den zukünftigen CEO sein um mit einem "clean sheet" zu starten.


@Piefkee

Nein. Das sagt Charlie nicht. Wie oft denn noch?:facepalm:

Charlie behauptet dass 10nm in welcher Form auch immer TOT ist. Und sie die nächsten Jahre logischerweise mit 14nm überbrücken (das schreibt er nicht, aber das ist die Konsequenz daraus). Du kannst doch nicht ernsthaft behaupten, dass sie den "neuen" 10nm als 7nm vermarkten obwohl er wahrscheinlich nicht konkurrenzfähig ist mit dem 7nm Prozess von TSMC und auch Samsung.

AffenJack
2018-10-22, 17:02:27
Charlie lebt aber nicht mehr von Clicks sondern von seinen Abonnenten. Die zahlen zum Teil sehr viel Geld für ein Abo. Was glaubst du was passieren würde wenn er denen nur Bullshit erzählt...

https://semiaccurate.com/subscribe/

Ich hatte vor Jahren mal aus scheiß da das kleine Abo, gerade weil ich wissen wollte ob da was wahres dran ist. Die Artikel waren zumindest zur Hälfte einfach nur schlecht und irrsinniger Bullshit. Hindert die Leute aber nicht Abonnent zu bleiben. Gefühlt haben die Leute es stärker geglaubt, desto irrsinniger seine Behauptungen waren.

Unicous
2018-10-22, 17:05:08
Niemand hat behauptet, dass Charlie gute Artikel schreibt, aber vom "Studenten-Abo" auf das echte zu schließen halte ich für vermessen. Es sind über die Jahre schon mehrfach Inhalte der Artikel geleaked worden und das was geleaked wurde war mehr als nur "bullshit".

Piefkee
2018-10-22, 17:08:33
@Piefkee

Nein. Das sagt Charlie nicht. Wie oft denn noch?:facepalm:

Charlie behauptet dass 10nm in welcher Form auch immer TOT ist. Und sie die nächsten Jahre logischerweise mit 14nm überbrücken (das schreibt er nicht, aber das ist die Konsequenz daraus). Du kannst doch nicht ernsthaft behaupten, dass sie den "neuen" 10nm als 7nm vermarkten obwohl er wahrscheinlich nicht konkurrenzfähig ist mit dem 7nm Prozess von TSMC und auch Samsung.


Mal ganz ehrlich der NAME hat doch überhaupt nichts mehr mit dem physikalischen Dimension zu tun?

Für den Investor was hört sich besser an?
"10nm hat Probleme wird bringen irgendwann mal 10nm+(oder wie auch immer) raus"
oder
"10nm hat Probleme deswegen gehen wir direkt auf 7nm"

--> Mit der Transitorgröße hat das ganze doch nichts zu tun. Die können den neuen Prozess auch 5nm nennen wenn sie wollen.

AffenJack
2018-10-22, 17:16:14
Niemand hat behauptet, dass Charlie gute Artikel schreibt, aber vom "Studenten-Abo" auf das echte zu schließen halte ich für vermessen. Es sind über die Jahre schon mehrfach Inhalte der Artikel geleaked worden und das was geleaked wurde war mehr als nur "bullshit".

Vielleicht schreibt er da mehr Infos die er wirklich bekommen hat, dass kann ich nicht ausschließen. Beim Studenten-Abo passte seine Trefferquote eher zu einem Educated guess, als zu echten Infos.

Unicous
2018-10-22, 17:16:21
@Piefkee

Das ist schon seit Jahr(zehnt)en so, trotzdem ist Intel (theoretisch) näher dran als die Konkurrenz. Jetzt zu behaupten Intel würde einen 12nm Prozess in 7nm umtaufen weil sie spät dran sind ist schlicht Blödsinn und durch nichts gedeckt außer deinen Fehlinterpretationen.:rolleyes:

@Affenjack

Es wurden über die Jahre die Inhalte diverser Artikel in Investorforen oder auch bei reddit geleaked, da hat man dann natürlich nicht Charlies endloses um den Brei Gelaber wiedergekäut sondern die wesentlichen Kernaussagen.
Das Studenten-Abo ist mMn nichts anderes als ein Lockangebot für Artikel, die Charlie früher auch so veröffentlicht hätte. Man erinnere sich daran, dass paywall-Artikel für eine zeitlang nach ein paar Monaten freigeschaltet wurden und später wurde dann das "Studenten-Abo" hinzugefügt. Seitdem gibt es paywall-Artikel, rants die man kostenlos lesen kann ;), und kommentierte Pressemitteilungen. Weiß gar nicht ob Thomas Ryan noch Artikel für S|A schreibt?

Leonidas
2018-10-22, 17:30:03
@Leonidas

Er behauptet dafür Quellen zu haben. Und auch wenn Charlie oft auch mal daneben liegt, oder die Dinge nicht exakt so eintreffen wie "vorausgesagt"(weil das Unternehmen vllt. sogar die road map still und leise geändert hat) bedeutet das nicht im Umkehrschluss, dass er lediglich spekuliert. Irgendetwas wird schon passiert sein, wie nahe er an der Wahrheit liegt werden wir im Übrigen nicht unbedingt in den nächsten Tagen erfahren, wüsste nicht warum Intel das tun würde, außer sie würden tatsächlich zum Earnings Call den neuen CEO "ausrufen", was eher unwahrscheinlich ist. Das könnte in der Tat ein Geschenk an den zukünftigen CEO sein um mit einem "clean sheet" zu starten.
.



Nehme ich als sinnvoll erscheinende Erklärung so entgegen und werde es in Zukunft beachten. Gut, das ich hiermit gewisse (bessere) Einblicke erfahren konnte ... um somit diese Meldung auch besser erfasssen und bewerten zu können.

Was bedeuten soll: Sachlicher Diskussionsstil mit Argumenten bringt Vorteile gegenüber der Gegenvariante (des gegenseitig sich als "dumm" zu erklären).

Sprich: :up: für Dein Posting.

w0mbat
2018-10-22, 17:33:37
Vor allem da Charlie, wie schon gesagt, Geld mit Abos und nicht mit Klicks verdient, ist so ein Artikel sicher gut überlegt. Also ich bin mir sicher seine Quellen sagen das. Wie gut seine Quellen sind ist eine andere Frage.

Piefkee
2018-10-22, 17:55:54
https://twitter.com/intelnews/status/1054397715071651841

Media reports published today that Intel is ending work on the 10nm process are untrue. We are making good progress on 10nm. Yields are improving consistent with the timeline we shared during our last earnings report.

mczak
2018-10-22, 18:04:22
Charlie's Informationen mögen ja nur halbgenau sein, aber bei der intel 10nm Geschichte scheint er bisher wirklich immer richtig zu liegen.
Ich muss zugeben ich habe das am Anfang nicht wirklich geglaubt - klar dass der Prozess nicht lief wie er sollte und es Verzögerungen gibt das habe ich ihm abgenommen, sowas ist ja auch eher der Normalfall (war auch nicht weiter tragisch weil ja die Konkurrenz im dem Zeitrahmen auch noch nichts besseres als 14nm hatte). Aber dass es derart dramatisch ist dass der 10nm Prozess so gar nie funktionieren würde hätte ich nie gedacht - das glaube ich erst seit es unübersehbar ist (also seit intel versucht ein paar halbkaputte völlig sinnlose CNL-U Chips zu verkaufen bloss um sagen zu können man habe ja schon lange 10nm Fertigung, und seit auf den Roadmaps die 3. Generation Chips aufgetaucht ist die weder Tick noch Tock sind).
Wie der "10nm" intel Prozess am Ende heisst ist eine Marketingentscheidung, interessanter ist auf jeden Fall ob der tatsächlich (auf dem Papier) ein Rückschritt ist gegenüber dem nicht funktionierenden jetzigen 10nm. Mittlerweile kann ich sogar das glauben, auch wenn das ziemlich aussergewöhnlich scheint (das war natürlich bei den Nachfolgern der missratenen 20nm/32nm von TSMC ganz anders).

Unicous
2018-10-22, 18:18:03
Niemand hat es anfangs geglaubt, weil Intels Fertigungssparte bislang immer geliefert hat, egal ob man das Ziel mal um ein paar Quartale verfehlt hat (ähnlich war es ja auch bei 14nm, niemand hat geglaubt, dass Intel den zigsten Refresh auflegt und damit durchkommt). Intel's klassische Salamitaktik hat sich hier mal wieder ausgezahlt.
Charlie hat bislang recht behalten... ob er das weiterhin tut kann man wieder nur erfahren wenn man geduldig das nächste Jahr abwartet und Intel ihre 10nm Chips vorstellen.:freak:

Außer ein paar roadmap leaks alle paar Monate oder außerehelichen Affären dringt ja nicht viel heraus.:wink:

w0mbat
2018-10-22, 18:34:40
Da "10nm Prozess" keine spezifisches Produkt ist, bzw. nicht genau definiert, kann Intel hier natürlich problemlos dementieren. Ich glaube Intel kein Wort bis ich Ende 2019 wirklich echte 10nm CPUs sehe.

Unicous
2018-10-22, 18:42:58
Intel hat genau definiert was der 10nm Prozess ist.

https://newsroom.intel.com/newsroom/wp-content/uploads/sites/11/2017/09/10-nm-icf-fact-sheet.pdf

w0mbat
2018-10-22, 18:47:18
Schau dir nochmal an was du da verlinkt hast, da ist nichts genau definiert.

Unicous
2018-10-22, 19:04:16
Dein Ernst?:eek:

Seite 2 oberstes Bild. Das sind die (oberflächlichen) Specs für den 10nm Prozess.

Keine Ahnung was du sonst noch wissen willst?:confused:

Sobald Intel von diesen Specs abweicht bzw. sie aufweicht ist es nicht mehr der von ihnen definierte Prozess.


Als Bonus noch eine pdf. mit mehr Bildern.

https://newsroom.intel.com/newsroom/wp-content/uploads/sites/11/2017/03/Kaizad-Mistry-2017-Manufacturing.pdf


edit:

Ein Intel fanboy erster Güte hatte vor 4 Monaten schon behauptet, dass Intel 10nm "skippen" wird.:uponder:

In other words (if it wasn't entirely clear) according to my source' connections that work at Intel, Intel is now planning to "mostly skip 10nm". (RIP 10nm.)

https://twitter.com/witeken/status/1010282236229357568

LadyWhirlwind
2018-10-22, 23:26:30
Könnte es nicht sein, dass Intel an den Parametern des Prozesses gedreht hat, damit aus 10nm überhaupt etwas wird? Ich weiss jetzt auch nicht, wie weit man auf Dokumente noch gehen kann die 2H17 als Produktionsbeginn für 10nm angeben...

Unicous
2018-10-22, 23:40:32
Das war das Gerücht, der letzten paar Monate. Semiaccurate geht jetzt davon aus, dass der komplette Prozess gecanceled wurde.

mboeller
2018-10-23, 07:06:40
vielleicht hat das auch damit zu tun:

https://siliconangle.com/2018/10/18/intel-plans-reorganize-chip-manufacturing-group-following-key-executive-departure/
https://appleinsider.com/articles/18/10/17/intel-splitting-manufacturing-group-into-three-parts-after-repeated-10nm-delays

Stichwort: neue Besen kehren gut...

Der langjährige Chef der Fertigung verlässt Intel oder er wurde entlassen. Vielleicht wollte er keine Veränderungen bzw. hat starr am jetzigen 10nm Prozess festgehalten weil er den bestimmt auch über viele Jahre promotet hat. Jetzt kommen 3 neue bzw. rücken nach und siehe da, schon ändert Intel ihre Ausrichtung.

vinacis_vivids
2018-10-23, 15:14:57
Die Köpfe rollen und Intel wird schrumpfen müssen. Jahrelang on the Top und einfach zu sehr abgehoben gewesen. Nun ist die eigene Fertigung zu teuer. Da werden noch massive Entlassungen folgen.

Eldoran
2018-10-23, 15:27:59
Ich interpretiere es auch so, dass primär "10nm" in der bisherigen Form beendet wird, was auch immer folgen mag, eben eine deutliche Änderung zum bisherigen Prozess darstellt. Eine heutigen Twitter Meldung zufolge weiss Charlie auch nicht, was folgen wird (https://mobile.twitter.com/CDemerjian/status/1054643263888478208?p=v). Er dürfte wohl auf 7nm mit EUV spekulieren (golem.de) (https://www.golem.de/news/fertigungsprozess-intel-soll-10-nm-node-eingestampft-haben-1810-137250.html). Wird auch soweit in der oberhalb verlinkten Twitter Meldung belegt.
An sich bietet sich an, bisher fehleranfällige Schritte zu umgehen indem wie bei GF/TSMC etwa konservativer angegangen wird oder Masken mit EUV eingespart werden (TSMC 7nm+ / 7nm Samsung). Denkbar ist auch, eben Teile des Nachfolgeprozesses einzusetzen intel 22FFL (https://www.realworldtech.com/intel-22ffl-process/) ist etwa so eine Mischung - 14nm mit etwas entspannteren Grenzen um Masken einzusparen.

gravitationsfeld
2018-10-23, 16:45:48
Das war das Gerücht, der letzten paar Monate. Semiaccurate geht jetzt davon aus, dass der komplette Prozess gecanceled wurde.
Was ist eigentlich so die Wahrheitsquote von Semiaccurate? In den letzten 20 Jahren war das gefuehlt so 5%.

Unicous
2018-10-23, 17:02:43
@gravitationsfeld

Das mag deine gefühlte "Wahrheitsquote" sein, insbesondere was 10nm@Intel anbelangt hat er im Großen und Ganzen recht behalten.

Dass witeken, ein allseits bekannter Intel fanboy (und das Wort nehme ich nicht leichtfertig in den Mund) dieses Gerücht schon vor 4 Monaten in die Welt gesetzt hat, bestärkt das Gerücht, denn auch er verfügt über Quellen und hat über die Jahre Intel Interna veröffentlicht. Und zudem hat er 14nm und 10nm über Jahre gegen jedwede Kritik verteidigt, dass er auf einmal so einknickt ist bezeichnend.

gravitationsfeld
2018-10-23, 18:47:17
Hat er nicht. Nichts ist abgesagt.
https://twitter.com/intelnews/status/1054397715071651841

Und bevor du anfaengst, Intel ist ein Aktienunternehmen, die koennen nicht einfach luegen. Die SEC hat schon wegen viel kleineren Muecken in letzter Zeit Chairmans rausschmeissen lassen.

Unicous
2018-10-23, 19:00:03
1. Was bedeutet "Hat er nicht"? wie wäre es mit ein wenig mehr Kontext?
Wie oft muss man dir eigentlich noch sagen, dass deine "Ein Satz" posts einfach nur passiv aggressives Trolling sind und kein wertvoller Debattenbeitrag wie du es vielleicht denken magst?

2. Keine Ahnung warum du den Link zu einem Tweet der hier schon gepostet wurde nochmals in den Thread unkommentiert hinklatscht und im Übrigen was ist ein Dementi von Intel heutzutage noch wert nachdem man mehrfach sagte, dass man mit 14nm und 10 nm im Zeitplan liegen würde.:rolleyes:

Intel hat mMn ihre Glaubwürdigkeit vor langer Zeit verloren, sie müssen sich das alles erst wieder mühsam erarbeiten. Und das sagen auch viele ehemalige Intel-Mitarbeiter nicht nur externe Kritiker.

Genauso wie man AMD(/GlobalFoundries) gescholten hat, wenn sie ihre Versprechen nicht gehalten haben sollte man die gleichen Maßstäbe auch bei Intel anlegen und ihnen nicht unkritisch aus der PR-Hand fressen.

edit:

Sie haben die Verpflichtung gegenüber der SEC wahrheitsgemäße Aussagen zu treffen, richtig. Erinnere dich bitte daran, was Brian Krzanich mit seinen shares gemacht hat bevor die Sicherheitslücke viele Monate danach veröffentlich wurde und wie die SEC darauf reagiert hat. ;)

Im Übrigen wird spekuliert, dass TMG aufgesplittet wird und dass Intel das morgen bekannt geben wird. Wir werden sehen ob das passiert oder ob der sonst sehr gut informierte Oregonian "gelogen" hat. ;)

gravitationsfeld
2018-10-23, 19:20:12
Intel hat nichts eingestellt. Brauchst du keine Romane drueber schreiben. Charlie hat wieder alle mit seinen Fantasien getrollt wie immer.

Wenn du den Link angeklickt haettest, waerst du auch in der Lage gewesen das offizielle Dementi von Intel dazu zu lesen.

Unicous
2018-10-23, 19:42:33
Der Tweet wurde hier schon verlinkt, du checkst es nicht.:rolleyes: (Oder du hast einfach nicht meinen Post gelesen, wie man dem zweiten Satz entnehmen kann :rolleyes:)

Mich tangiert das Intel-Dementi nicht, sie müssen liefern und das tun sie nicht. Es gibt keine 10nm Chips(bis auf als Produktionschips getarnte teildeaktivierte Testchips die sie verkaufen um den Schein zu waren), es gibt nicht genug 14nm Chips und sie fallen immer weiter zurück.

Es ist also nicht völlig an den Haaren herbeigezogen, dass sie den Prozess einstellen oder andere Maßnahmen ergreifen (z.B. versuchen 7nm vorzuziehen, einen 10nm/7nm Hybriden mit EUV einschieben) um die Misere in den Griff zu bekommen. Nicht nur Intel ist von Intel abhängig sondern auch ihre handvoll an "Foundry"-Partnern.

Leonidas
2018-10-24, 04:20:27
Haut euch nicht. In Kürze wissen wir dann mehr dazu.

gravitationsfeld
2018-10-24, 06:57:46
Der Tweet wurde hier schon verlinkt, du checkst es nicht.:rolleyes: (Oder du hast einfach nicht meinen Post gelesen, wie man dem zweiten Satz entnehmen kann :rolleyes:)

Mich tangiert das Intel-Dementi nicht, sie müssen liefern und das tun sie nicht. Es gibt keine 10nm Chips(bis auf als Produktionschips getarnte teildeaktivierte Testchips die sie verkaufen um den Schein zu waren), es gibt nicht genug 14nm Chips und sie fallen immer weiter zurück.

Es ist also nicht völlig an den Haaren herbeigezogen, dass sie den Prozess einstellen oder andere Maßnahmen ergreifen (z.B. versuchen 7nm vorzuziehen, einen 10nm/7nm Hybriden mit EUV einschieben) um die Misere in den Griff zu bekommen. Nicht nur Intel ist von Intel abhängig sondern auch ihre handvoll an "Foundry"-Partnern.
Dir ist schon klar, dass Intel 14nm = TSMC und wie sie alle heissen 10nm, ja?

10nm Intel ist besser als TSMC/Samsung "7nm". Da ist gar nichts mit "zurueckfallen". Sie haben nur ihre absolute Dominanz verloren.

Pirx
2018-10-24, 07:01:25
wäre möglw. besser (gewesen?)

Complicated
2018-10-24, 07:23:54
Das zurückfallen ist doch schon passiert. Intel hat keinen Hybrid EUV Prozess entwickelt auf 7nm und muss warten bis EUV wirtschaftliche yields hat. TSMC und Sansung werden da schon 1-2 Jahre Praxis Erfahrung haben und sind schon dabei 5nm für die risk production vorzubereiten es ist fraglich ob Intel über 7nm hinaus schon viele Ressourcen einsetzen kann, wenn sie für die Lösung der akuten Prpbleme in 14 und 10 nm ihre besten Köpfe benötigen.
Sie haben gezockt und die EUV-Wette verloren.

gravitationsfeld
2018-10-24, 08:02:45
Ich sag's jetzt noch mal, Intel 10nm = TSMC 7nm.

Derzeitiges TSMC 7nm ist uebrigen self aligned quad pattering (so wie Intel auch), da ist nichts mit EUV.

HOT
2018-10-24, 10:11:01
Naaa, die Risc-Produktion von N7+ läuft aber schon länger und Samsung hat sogar offiziell 7LPP gestartet, welcher EUV beinhaltet. Wenn Intel da jetzt, also jetzt, viel Mannstunden und Geld in eine EUV-Erweiterung von 10nm steckt, klappt das vielleicht bis Mitte 2020 die Massenfertigung zu starten. Immerhin haben die anderen Fertiger schon soviel Erfahrung gesammelt, dass das auch den Zulieferern die Arbeit erleichtert. Intel könnte davon profitieren in dem Fall.
Und selbst werden die mit EUV für 7nm ja auch schon arbeiten.

Im Gegensatz zu Intel hat es TSMC eben nur hinbekommen, vernünftige Yields mit 7 DUV zu liefern - als einziger Fertiger (und größter). Intel war halt zu ehrgeizig. In dem Falle ein riesen Glück für AMD.

w0mbat
2018-10-24, 10:14:55
Der zuerst angedachte Intel 10nm Prozess war vielleicht auf Augenhöhe mit TSMC 7nm, aber der wurde ja verworfen. Jetzt geht es doch nur noch darum, ob der abgespeckte 10nm Prozess doch noch kommt, oder ob Intel den auch fallen lässt.

TSMC produziert jetzt schon eine ganze Weile in 7nm, der neue 7nm+ mit EUV ist auch schon in der risk production und sogar 5nm steht schon in den Startlöchern. Erst diese Woche gab es Berichte über die ersten 5nm samples.

Intel müsste jetzt ihren originalen 10nm Prozess am Laufen haben um auf Augenhöhe mit TSCM zu sein.

Unicous
2018-10-24, 15:06:20
Dir ist schon klar, dass Intel 14nm = TSMC und wie sie alle heissen 10nm, ja?

10nm Intel ist besser als TSMC/Samsung "7nm". Da ist gar nichts mit "zurueckfallen". Sie haben nur ihre absolute Dominanz verloren.
Ich sag's jetzt noch mal, Intel 10nm = TSMC 7nm.

Derzeitiges TSMC 7nm ist uebrigen self aligned quad pattering (so wie Intel auch), da ist nichts mit EUV.

Diese Posts beweisen, dass du diesen Thread offensichtlich nicht mitliest und dennoch deinen Senf dazugeben musstest. Die allgemeinen Specs zu den einzelnen Prozessen sind bekannt und wurden hier bzw. in anderen Threads diskutiert. Dass die Marketingnamen seit vielen, vielen Jahren nicht mehr mit den Dimensionen korrelieren (weder von Intel noch von TSMC, Samsung, GF und Co.) ist auch bekannt und dennoch musst du in deiner unendlichen Weisheit eben diesen Umstand der unwissenden Masse vor die Füße werfen, vielen Dank dafür.:rolleyes:

TSMC im Gegensatz zu Intel fertigt Chips in ihrem Verfahren in Massen und wie bereits angemerkt hat auch ihr EUV-Verfahren bereits das erste tape out, Q2 2019 folgt schon die risk production für "5nm". Aller Voraussicht nach hat Intel dann immer noch keine 10nm Chips im Laden stehen (wie gesagt... bis auf die teildeaktivierten Vaporware-Chips). TSMC wird dann voraussichtlich Mitte 2020 in Massenproduktion mit "5nm" gehen.
Samsung behauptet sie hätten jetzt auch mit ihrem "7nm" EUV Prozess die Massenproduktion gestartet, nur zur Info, der ist theoretisch "besser" als der 10nm Prozess von Intel.

https://pbs.twimg.com/media/C8C7E2WXgAEJR9z.png
https://twitter.com/WikiChip/status/846883369145290752

Es wäre also nicht unklug von Intel die Reißleine zu ziehen und sich voll auf ihren 7nm Prozess zu konzentrieren denn die Konkurrenz zieht sonst weiter davon.

gravitationsfeld
2018-10-24, 16:22:33
Intel hat keine Probleme mit 10nm, sie haben Probleme mit dem Preis. TSMC produziert für Apple 7nm, weil die ihnen dafür entsprechende Summe zahlen. Wenn die Telefone >1000 EUR kosten ist das auch egal.

Unicous
2018-10-24, 16:45:00
Dem Preis? Sie haben seit Anfang an Probleme mit dem Yield wenn du darauf hinaus willst (und haben das auch zugeben "müssen" falls dir das entgangen ist) und kämpfen anscheinend überhaupt damit funktionierende Dies vom Wafer zu kratzen. Logisch, dass der "Preis" für die Massenproduktion zu hoch ist, wenn der Yield lachhaft gering ist und man es laut road map erst schafft ihn 2 Jahren nach Plan in Massenproduktion zu schicken. Und auch das ist ja eigentlich gelogen, denn ursprünglich war der Plan 2H'2016.:freak: (Und auch das ist eigentlich gelogen, denn vor vielen Jahren ging man noch von 2015 aus.;D)

Es gibt keinerlei Hinweise, dass TSMC größere Probleme mit dem Yield hat und Apple ist nicht bereit mehr zu zahlen als nötig. Du sprichst von 1000 Euro Handys, warum produziert Intel dann keine 1000 Euro 10nm CPUs? :rolleyes:
Zumal der Vergleich so was von hanebüchen ist, wenn man bedenkt wie viele Chips in den iPhones heutzutage verbaut sind.

Im Übrigen bezeichnend, dass du den Großteil des/r Posts einfach ignorierst und wieder einen belanglosen Einzeiler hinklatscht.:rolleyes:

Lehdro
2018-10-24, 16:47:00
Intel hat keine Probleme mit 10nm, sie haben Probleme mit dem Preis.
Und deswegen liefern sie nur Chips aus bei denen die Hälfte deaktiviert ist, was sich ja super toll teuer verkaufen lässt: Guter Witz. (https://www.golem.de/news/cannon-lake-u-y-intels-erster-10-nm-chip-misst-70-mm-1806-134990.html)

Intel hat massive Probleme mit den Yields, sprich überhaupt brauchbare Mengen an funktionierenden Chips aus dem Prozess zu bekommen. Der Preis kommt dann wohl eher von den desaströsen Yields: Der Preis ist somit dann wohl eher die Folge der Probleme mit 10nm. Also kann von "keine Probleme mit 10nm" wohl nicht die Rede sein.

Unicous
2018-10-24, 16:53:58
Das kommt ja noch hinzu. Wollte ich eigentlich auch noch schreiben. Den Alibi-Chip den sie produzieren/produziert haben? ist gerade mal 70mm² groß, das ist kleiner als der A12 mit ca. 83mm².

Die GPU ist "deaktiviert" oder sollte man eher sagen defekt:freak: und der Prozess war zu der Zeit schon seit einem halben? Jahr oder länger in Gange.

LadyWhirlwind
2018-10-24, 18:54:51
Lieferbar ist der i3-8121U ja auch nur im geringen Mengen. Ich vermute ja, dass Intel ihre Testchips verscherbeln.

cyrusNGC_224
2018-10-24, 20:10:42
Im Übrigen bezeichnend, dass du den Großteil des/r Posts einfach ignorierst und wieder einen belanglosen Einzeiler hinklatscht.:rolleyes:Hat mich etwas irritiert, ist das Getrolle oder Unwissenheit?

smalM
2018-10-25, 12:40:16
Lieferbar ist der i3-8121U ja auch nur im geringen Mengen. Ich vermute ja, dass Intel ihre Testchips verscherbeln.
Das ist einerseits keine Vermutung, 10nm kommen zur Zeit ausschließlich aus der Entwicklungsabteilung in der D1X Fab. Meines Wissens nach wurde bisher noch keine Produktionsstraße der HVM involviert.
Andererseits bin ich mir nicht sicher, ob Intel für die Chips Geld bekommt...

mczak
2018-10-25, 16:43:32
Andererseits bin ich mir nicht sicher, ob Intel für die Chips Geld bekommt...
Ja Charlie spricht ja da bei Cannonlake von Contra Revenue. Kann gut sein denn es ist nicht einzusehen wieso ein OEM den Chip (ohne IGP) will.
Bei den Stückzahlen von denen wir hier sprechen dürfte das aber eh völlig egal sein.

Pirx
2018-10-26, 09:17:55
gabs auf dem Intel CC News zu deren 10 nm?

dildo4u
2018-10-26, 09:22:47
Immer noch Ende 2019,er füllt sich aber jetzt besser mit der Voraussage als vor 3 Monaten.


https://www.marketwatch.com/story/intel-stock-rallies-on-raised-outlook-earnings-beat-for-server-and-pc-sales-2018-10-25

HOT
2018-10-29, 12:25:24
Jo, er füllt sich eher die eigene Tasche, denn man wird natürlich Icelake bringen, wahrscheinlich wieder nur als U, um die Boni zu kassieren. Aber ich glaube das Gros von Icelake kann man 2019 einfach vergessen.

Leonidas
2018-10-29, 18:14:23
Da man Anfang 2019 die zweite Welle des CFL-Refresh haben wird, ist dies gar nicht einmal so unmöglich. Für die OEMs muß man zwingend erst 2020 wieder was neues haben - das kann natürlich dann auch Frühling oder gar Frühsommer 2020 sein. Natürlich hätte man es lieber früher als später - aber wenn alle Stricke reißen, geht das halt auch so.

AffenJack
2018-10-30, 11:12:46
Wikichip hat von der ARM Techcon viele Infos über Samsungs nächste Prozesse zusammengetragen.

https://fuse.wikichip.org/news/1750/samsung-7nm-enters-risk-production-talks-roadmap-scaling-boosters-and-the-arm-ecosystem/

Unter auch ein Vergleich der Transistordichten von 14nm - 5nm bei Samsung dabei und die Roadmap bis 3 GAA.

w0mbat
2018-10-30, 11:22:09
https://www.digitimes.com/news/a20181030PD205.html

Atom CPUs gehen auch zu TSMC.

AffenJack
2018-10-30, 11:29:02
@w0mbat

Bei Digitimes ists immer gut den relevanten Teil des Artikels zu Quoten, da der Link nach einigen Tagen im Paybereich verschwindet.

Da fällt mir aber noch was von denen ein:

Taiwan Semiconductor Manufacturing Company (TSMC) has made progress in enhancing its backend chip-on-wafer-on-substrate (CoWoS) technology to support diverse high-performance computing (HPC) applications. The pure-play foundry is expected to begin volume production of chips using its fourth-generation CoWoS process in 2019, according to industry sources.

TSMC is also expected to introduce the fifth generation of its CoWoS process in 2020, the sources indicated. The fifth-gen CoWoS process is expected to support much larger interposer designs with up to 3X the reticle size to respond to advances in HPCs for artificial intelligence (AI) applications, the sources said.

https://www.digitimes.com/news/a20181025PD212.html?mod=3&q=tsmc

Bis zu 3x reticle size als Interposer ist einfach massiv. 2400mm² Interposer, definitiv die Vorbereitung auf die Multichip Ära. Allerdings frage ich mich, wie man solche Gebilde dann noch kühlen will oder ob da dann extrem viele niedrig taktende chips drauf kommen.

Locuza
2018-10-30, 12:03:42
Der Teil liest sich für mich relativ negativ.
Ich habe eher erwartet das die Anbieter etwas Ähnliches wie Intels EMIB anbieten werden, anstatt massive Interposer zu fertigen.

HOT
2018-10-30, 13:13:02
Interposer sind ja nur problematischer im Packageing. Die Dinger selber sind doch billige Massenware. EMIB ist ja ne "Krücke" um keine Interposer einsetzen zu müssen, hat aber sicherlich im Gegensatz dazu Grenzen. Mittel- und langfristig sind Interposer und Chiplets sicherlich die Zukunft.

AffenJack
2018-10-30, 13:36:04
Der Teil liest sich für mich relativ negativ.
Ich habe eher erwartet das die Anbieter etwas Ähnliches wie Intels EMIB anbieten werden, anstatt massive Interposer zu fertigen.

Die Frage ist bei wieviel Komplexität EMIB noch Vorteile bietet. Bei 2 Chips ist es bestimmt im Vorteil, aber wenn du 7,8 Chips oder mehr hast bin ich mir nicht mehr sicher.

@Hot, so günstig sind Interposer in großen Größen bestimmt auch nicht. Aber bei Serverdesigns am Ende vernachlässigbar. Für Endkunden sind das dagegen schon Mehrkosten, die man betrachten muss, auch wenn sie klein sind. 5€ für den Interposer in der Produktion sind nicht zu vernachlässigen, wenn das ganze Package auch für 60/70€ verkauft wird.

mboeller
2018-10-30, 13:39:20
Interposer sind ja nur problematischer im Packageing. Die Dinger selber sind doch billige Massenware. EMIB ist ja ne "Krücke" um keine Interposer einsetzen zu müssen, hat aber sicherlich im Gegensatz dazu Grenzen. Mittel- und langfristig sind Interposer und Chiplets sicherlich die Zukunft.

sehe ich genau anders herum. EMIB + Chiplet halte ich für die Zukunft und Interposer werden bald vergessen sein.

HOT
2018-10-30, 14:08:53
Da ist einfach Unsinn. Du siehst es ja, 2400mm² Interposer incoming, können dann auch aktiv oder teil-aktiv sein, das geht alles nicht mit EMIB. Zudem ist EMIB ne reine Intel-Geschichte. Die Zukunft kann das also gar nicht sein außer für Intel.

AffenJack
Das ist eben nicht so einfach. Wir sehen ja, dass die 7nm-Chips exorbitant teuer werden. Also macht es auch aus Kostensicht einfach mehr Sinn, Teile die in 14nm gefertigt werden könne auch in 14nm zu belassen. Und das Packaging, was das eigentliche Problem bei Interposern ist, wird mit zunehmender Erfahrung auch immer billiger. Vega war hier sicherlich aus der Sicht sehr wichtig für AMD, damit die Firmen das auch hinbekommen, wenns drauf ankommt. Man fragte sich ja, warum AMD z.T. 3 Packagefertiger mit Vega beschäftigt hat, das dürfte die Antwort darauf sein. Man hat die einfach gefördert. Zudem weiss ich auch nicht, was TSMC außer Interposern noch so zu bieten hat. Für low-Cost-CPUs muss man sich ja was anderes einfallen lassen, oder es gibt für LowCost schlicht kein 7nm.

Eldoran
2018-10-31, 15:32:42
Der Vorteil von EMB ist, dass man sich die TSV ersparen kann, die sind der Hauptkostentreiber bei den Interposer. Dass man damit auch Wafer spart ist eigentlich eher uninteressant, die sind nicht so teuer. Es ist auch nicht ganz klar wie intel bei EMIB das Problem der verschiedenen Wärmeausdehnungskoeffizienten löst. Beim Interposer ist es weitgehend egal, da sind Die und Interposer aus dem gleichen Material. Bei EMIB müsste es allerdings zu Scherkräften zwischen EMIB und den normalen Verbindungen kommen. A sich soll EMIB billiger sein, allerdings bei weitem nicht in dem Ausmass bei dem weniger Silizium benötigt wird, es verschieben sich eben teilweise Kosten.

iuno
2018-10-31, 15:40:38
Was haben TSVs mit dem Thema zu tun? Die braucht man um mehrere dice uebereinander zu stacken und durchzuverbinden, so wie etwa bei HBM stacks. Die Verbindung vom HBM oder der GPU zum Interposer oder entsprechend den Chiplets in einem MCM hat damit doch nichts zu tun.

unl34shed
2018-10-31, 15:46:16
Interposer zu Platine

Locuza
2018-10-31, 15:52:35
Was haben TSVs mit dem Thema zu tun? Die braucht man um mehrere dice uebereinander zu stacken und durchzuverbinden, so wie etwa bei HBM stacks. Die Verbindung vom HBM oder der GPU zum Interposer oder entsprechend den Chiplets in einem MCM hat damit doch nichts zu tun.
https://www.hardwareluxx.de/media/jphoto/artikel-galerien/amd-fiji-interposer-technologie/amd-furyx-interposer-17-950x533.jpg
https://www.hardwareluxx.de/index.php/artikel/hardware/grafikkarten/35776-amd-fiji-wie-der-interposer-hbm-und-gpu-miteinander-verbindet.html

https://mk0nextbigfuturj5ioe.kinstacdn.com/wp-content/uploads/2014/09/EMIB-vs-25D-2.jpg
https://i.imgur.com/DMu8gwI.png

iuno
2018-10-31, 15:56:30
ah jetzt, danke ;)

maguumo
2018-10-31, 16:00:57
Kann weg, sollte vor dem posten mal aktualisieren

iuno
2018-10-31, 16:05:33
Waren zugegebenermassen auch nur ein paar Sekunden, aber die Bilder kamen ja auch erst nach und nach ;)
Aber eigentlich haette das mir auch klar sein muessen :redface:

HOT
2018-11-02, 12:20:47
Intels 10nm massiv entschärft?
https://www.extremetech.com/computing/278095-intel-may-have-10nm-hardware-in-market-faster-than-expected

Mangel76
2018-11-02, 12:34:28
Intels 10nm massiv entschärft?
https://www.extremetech.com/computing/278095-intel-may-have-10nm-hardware-in-market-faster-than-expected

Die Meldung ist doch schon einen Monat alt???

Linmoum
2018-12-06, 15:31:20
Taiwan Semiconductor Manufacturing Company (TSMC) is unlikely to see its 7nm process capacity fully utilized in the first half of 2019, due to a cutback in orders placed by Apple, HiSilicon and Qualcomm, according to a recent Chinese-language Commercial Times report.

TSMC has landed lower-than-expected 7nm chip orders for the first half of 2019, and will likely utilize only 80-90% of its total 7nm process capacity during the six-month period, the report quoted industry sources as saying. Not only Apple but also HiSilicon and Qualcomm have become cautious about placing orders for their advanced 7nm chip solutions, the report continued.

https://www.digitimes.com/news/a20181205VL201.html

Mal schauen, ob da jemand anderes von profitieren kann. ;)

amdfanuwe
2018-12-06, 16:36:57
Mal schauen, ob da jemand anderes von profitieren kann. ;)
Glaub ich nicht. AMD wird bestimmt wieder von GloFo ausgebremst die mit den I/O Dies nicht hinterher kommen.

Brillus
2018-12-06, 16:45:29
Glaub ich nicht. AMD wird bestimmt wieder von GloFo ausgebremst die mit den I/O Dies nicht hinterher kommen.

Zumindest Vega20 braucht keinen. ;)

amdfanuwe
2018-12-06, 16:50:35
Klar, gar nicht daran gedacht. Für Navi sollte der Weg dann auch frei sein.
AMD scheint echt ein glückliches Jahr 2019 zu erwischen.

AffenJack
2018-12-06, 18:01:51
Wird keinen Einfluss auf Navi haben. Der Zeitplan lässt sich so spät in der Entwicklung nicht verschieben. Wenn man vorher angenommen hat, dass man erst alle Kapazitäten für Zen braucht, dann wird die Navi Entwicklung so geplant, dass Navi fertig wird, wenn Kapazitäten frei sind. Navi wird ja nicht Monate vorher rumliegen und darauf warten, das Kapazität frei wird.

Der große Vorteil ist, dass man Zen stärker rampen kann und schneller ein komplettes Lineup bringen kann, da man mehr produzieren kann.

Linmoum
2018-12-06, 18:11:18
Ich sehe auch keinen Grund dafür, warum man potentiell freigewordene Kapazitäten für Navi statt Zen, und dort vor allem Rome, nutzen sollte.

Für letzteres wäre das aber nochmal richtig gut und wichtig, wenn man da nochmal zusätzlich produziert werden kann.

Complicated
2018-12-06, 18:49:03
Glaub ich nicht. AMD wird bestimmt wieder von GloFo ausgebremst die mit den I/O Dies nicht hinterher kommen.
Hängt davon ab wie viel Chiplets in 7 nm pro IO in 14nm benötigt werden....

TSMC wird Mitte 2019 die Kapazitäten verdoppeln in 7nm und AMD ist hier voll dabei. Mal sehen wie der Launch laufen wird...

amdfanuwe
2018-12-06, 19:13:29
Navi wird ja nicht Monate vorher rumliegen und darauf warten, das Kapazität frei wird.
Sicher?
Du kannst doch erst Kapazitäten buchen wenn der letzte Tape Out die Tests besteht und für die Produktion freigegeben wird. Wenn der Chip noch Fehler hat und Maskenänderungen oder sonstiges notwendig sind sitzt du auf den gebuchten Kapazitäten und darfst dafür bezahlen.

Skysnake
2018-12-06, 23:04:18
Ne du Buchst schon vorher. Das dauert ja alles. Deswegen gibt es ja auch deadlines fürs tapeout.

Und so btw es ist auch immer eine Kostenfrage. Man lässt nicht nur einen Wafer zum finalen validieren produzieren, sondern lässt ne größere Menge belichten, bei denen aber bis auf wo paar Wafer keinen Metal Stack bekommen.

Man kann da durch den Metalstack noch sehr viel drehen. Die Wafer mit den Transistoren drauf halten aber auch nicht ewig. Wenn man für den rework zu lange brauch kann man alles wegwerfen

amdfanuwe
2018-12-07, 00:25:26
OK, ich dachte da wohl eher an die Großserie die erst gebucht wird, wenn die reworks erfolgversprechend sind.
Aber das ist BWL und Risikomanagement der Auftraggeber. Nicht mein Bereich.

Pirx
2018-12-07, 13:49:54
Toms Hw: TSMCs 7nm-Fertigung nicht ausgelastet – AMD wird es freuen (https://www.tomshw.de/2018/12/07/tsmcs-7nm-fertigung-nicht-ausgelastet-amd-wird-es-freuen-freuen/):uponder:

aso hattet ihr schon

Leonidas
2019-01-04, 07:39:59
Ein japanischer Artikel nennt IBM und nVidia als Erstabnahmer für Samsungs 7nm-Fertigung.
Samsung selber hatte im Herbst schon gesagt, das sie unter 7nm eine GPU für HPC bauen werden.
https://www.3dcenter.org/news/hardware-und-nachrichten-links-des-3-januar-2019

DrumDub
2019-01-04, 10:38:24
samsung und tsmc wohl gleichauf bei 7nm fertigung (PCGH) (http://www.pcgameshardware.de/CPU-CPU-154106/News/7nm-Fertigung-Samsung-TSMC-ebenbuertig-1272538/)

endlich mal wieder echte konkurrenz. das ist gut für die preise.

HOT
2019-01-04, 10:40:20
Das ist glaub ich missverständlich ausgedrückt. Samsung wird natürlich zuerst die eigenen und Qualcomm-SoCs fertigen. IBM und NV werden die ersten HPC-Produkte fertigen.

basix
2019-01-04, 10:54:01
Ampere von Samsung?

aceCrasher
2019-01-04, 11:39:23
Das ist glaub ich missverständlich ausgedrückt. Samsung wird natürlich zuerst die eigenen und Qualcomm-SoCs fertigen. IBM und NV werden die ersten HPC-Produkte fertigen.

Der Snapdragon 855 von Qualcomm wird aber nicht bei Samsung, sondern bei TSMC, gefertigt.

Complicated
2019-01-04, 12:21:01
Womit soll Nvidia etwas fertigen?

reaperrr
2019-01-04, 13:23:06
Womit soll Nvidia etwas fertigen?
Er meinte doch Samsung für Nvidia. Sei doch nicht so :wink:

Scheinbar haben AMD und Nvidia diesmal eine unterschiedliche Strategie verfolgt:
Während Nvidia es offenbar wie bei Maxwell->Pascal macht, also erst neue Architektur in relativ 'altem' Prozess, 1st-Gen 7nm mit Quad-Patterning usw. überspringen und direkt auf EUV setzen, hat AMD diesmal von vornherein auf TSMCs 1st-Gen 7nm gesetzt, möglicherweise genau in der Hoffnung, dass sie dadurch mehrere Monate einen Prozess-Vorteil gegenüber Nvidia (und evtl. eben Intel) haben.

Scheint sich nach derzeitigem Stand auszuzahlen.

HOT
2019-01-04, 13:50:01
Der Snapdragon 855 von Qualcomm wird aber nicht bei Samsung, sondern bei TSMC, gefertigt.
richtig und der Nachfolger kommt in AFAIK wieder in 7LPP.

mboeller
2019-01-04, 14:08:21
das sind aber schlechte Neuigkeiten für Nvidia. Samsung ist ca. 1 Jahr hinter TSMC dran mit ihrem 7nm Prozess.

28.10.2018:

The biggest news from Wednesday at 3 PM was the announcement that 7LPP has entered risk production and is expected to ramp throughout 2019.


... und TSMC war schon 2017 soweit.

https://fuse.wikichip.org/news/1750/samsung-7nm-enters-risk-production-talks-roadmap-scaling-boosters-and-the-arm-ecosystem/

Troyan
2019-01-04, 14:29:35
Samsung setzt sofort auf EUV, TSMC wird EUV erst jetzt einführen.

DrumDub
2019-01-04, 14:31:55
Samsung setzt sofort auf EUV, TSMC wird EUV erst jetzt einführen. exakt.


http://www.pcgameshardware.de/screenshots/original/2019/01/7nm-Prozess-Samsung-vs-TSMC-pcgh.png

mboeller
2019-01-04, 14:50:24
Samsung setzt sofort auf EUV, TSMC wird EUV erst jetzt einführen.

hilft nur Nvidia 2019 nix :tongue:

Troyan
2019-01-04, 14:53:30
Warum auch? AMD zeigt gerade, wie wenig der HP 7nm Prozess von TSMC bringt. Da kann nVidia auf EUV warten.

Sunrise
2019-01-04, 15:35:48
hilft nur Nvidia 2019 nix :tongue:
NV hat doch gerade erst Turing (noch nichtmal komplett) vorgestellt. Und eine Strategie mit Splitting der Fertigung innerhalb einer Serie fährt NV nicht, weil man dann alles neu machen müsste, so muss NV nur noch skalieren.

NV hätte sicher gerne zuerst in 7nm produziert, aber mehr Geld lässt sich eben mit 12nm verdienen, das war relativ schnell klar.

basix
2019-01-04, 15:46:47
Warum auch? AMD zeigt gerade, wie wenig der HP 7nm Prozess von TSMC bringt. Da kann nVidia auf EUV warten.

:facepalm:

Doppelte Packdichte und doppelte Energieeffizienz sind also wenig? Nur weil Vega 20 wieder an die Grenze getaktet ist, bedeutet das nicht, dass der Prozess nichts bringt. Siehe Zen 2 Präsentation mit doppelter Geschwindigkeit verglichen mit EPYC1 sowie Intel.

Troyan
2019-01-04, 16:29:47
:facepalm:

Doppelte Packdichte und doppelte Energieeffizienz sind also wenig? Nur weil Vega 20 wieder an die Grenze getaktet ist, bedeutet das nicht, dass der Prozess nichts bringt. Siehe Zen 2 Präsentation mit doppelter Geschwindigkeit verglichen mit EPYC1 sowie Intel.

Aha. Doppelte Packdichte (gut nicht bei Vega@7nm) und doppelte Effizienz (gut auch nicht bei Vega@7nm) sind Argumente. Aber vielleicht braucht man mehr als tolle Powerpointfolien. Ein reales Produkt wäre hier z.B toll.

Vega@7nm wird bis 2020 AMDs Produkt für den professionellen Bereich sein. Und das Ding ist so DoA, dass es eigentlich nicht mehr lustig ist.

MadPenguin
2019-01-04, 17:05:31
Aha. Doppelte Packdichte (gut nicht bei Vega@7nm) und doppelte Effizienz (gut auch nicht bei Vega@7nm) sind Argumente. Aber vielleicht braucht man mehr als tolle Powerpointfolien. Ein reales Produkt wäre hier z.B toll.

Vega@7nm wird bis 2020 AMDs Produkt für den professionellen Bereich sein. Und das Ding ist so DoA, dass es eigentlich nicht mehr lustig ist.

Ich habe zu danken. Wirklich. Auch 2019 lese ich gerne deine Posts, wenn ich depressiv bin. Gibt immer schön was zu lachen ;D

Obwohl ich genau weiß, dass ich es bereuen werde: Basieren deine Aussagen auch auf was handfestes? Oder wolltest du mit dem DoA (sagen wir mal du wolltest Dead or Alive schreiben :D) ausdrücken, dass AMD gerade mit den MI60s einen Quantencomputer befeuert und dein dezenter Hinweis auf Schrödinger's Katze genau dies zum Ausdruck bringen wollte?

CrazyIvan
2019-01-04, 20:21:09
Dead on Arrival

MadPenguin
2019-01-04, 21:27:04
Dead on Arrival

Weiß ich. Wollte lustig sein :D
Oder eben auch nicht ^^

woodsdog
2019-01-05, 09:28:40
Ich habe zu danken. Wirklich. Auch 2019 lese ich gerne deine Posts, wenn ich depressiv bin. Gibt immer schön was zu lachen ;D

Obwohl ich genau weiß, dass ich es bereuen werde: Basieren deine Aussagen auch auf was handfestes?

Das tun sie natürlich nicht. Ist immer das selbe, stumpfe gehate sobald man nicht die Grünen mit allem was Lederjacke so raushaut bejubelt. Der Typ ist schlicht nicht Ernst zu nehmen. v0v

Pirx
2019-01-25, 13:20:25
TSMC to tape out first 5nm chip design in 1H19 (https://www.digitimes.com/news/a20190122VL201.html)

dildo4u
2019-01-25, 13:24:36
Dann könnte Navi schon 7+ sein?

Complicated
2019-01-25, 13:36:53
Nein. Siehe AMD Roadmap. Erst NextGen 7nm+

HOT
2019-01-25, 19:07:22
Aha. Doppelte Packdichte (gut nicht bei Vega@7nm) und doppelte Effizienz (gut auch nicht bei Vega@7nm) sind Argumente. Aber vielleicht braucht man mehr als tolle Powerpointfolien. Ein reales Produkt wäre hier z.B toll.

Vega@7nm wird bis 2020 AMDs Produkt für den professionellen Bereich sein. Und das Ding ist so DoA, dass es eigentlich nicht mehr lustig ist.
Und zum 8000x, schau dir den Die-Plot von V20 an. Die Packdichte ist nicht repräsentativ aufgrund der riesigen I/O-Bereiche. Real ist die Logik zwischen 2 und 2,5x kleiner als bei V10. Und die Energieeffzienz ist an der Kotzgrenze halt nie gut, zumal Vega bei Spielen bei 1,8GHz tatsächlich ne Taktbarriere zu haben scheint, wie Zen1 bei 4,4GHz.

Zossel
2019-01-25, 21:59:11
TSMC to tape out first 5nm chip design in 1H19 (https://www.digitimes.com/news/a20190122VL201.html)

Wann kommt eigentlich GAA?

Nightspider
2019-01-26, 01:51:51
5nm Chiplets 2020 von AMD für die PS5? :uponder:

== 12 Kerne mit 4 Ghz. ^^

Brillus
2019-01-26, 01:52:49
5nm Chiplets 2020 von AMD für die PS5? :uponder:
2020 würde ich erstmal 7nm EUV erwarten bei AMD.

basix
2019-01-26, 09:29:49
Jop. Ist ja erst Massenproduktion H1/2020. Das wird für die Smartphone Chips sein, ähnlich wie bei der 7nm Generation kommt CPU/GPU 1 Jahr später. Vielleicht auch deswegen die Gerüchte bezüglich neue Konsolen erst 2021 ;)

Leonidas
2019-01-26, 10:14:15
Ja, Massenfertigung von PC-Chips in TSMC 5nm erst H1/2021.

Aber das werden sicher keine Konsolen-SoCs sein. Die brauchen ersten ein halbes Jahr Vorlauf (Anhäufung von Beständen für den Launch) und zweitens benutzen die ungern aus Kostengründen eine brandneue Fertigung.

spotz
2019-01-26, 10:56:52
Wann kommt eigentlich GAA? Ich glaube bei Anandtech stand mal das Samsung dies wohl für 2022+ plant. Wobei das + in der Jahreszahl wohl ernst zu nehmen sei.

SKYNET
2019-01-26, 12:05:17
Ja, Massenfertigung von PC-Chips in TSMC 5nm erst H1/2021.

Aber das werden sicher keine Konsolen-SoCs sein. Die brauchen ersten ein halbes Jahr Vorlauf (Anhäufung von Beständen für den Launch) und zweitens benutzen die ungern aus Kostengründen eine brandneue Fertigung.


also PS5 pro dann ;)

AffenJack
2019-01-26, 12:11:33
Ich glaube bei Anandtech stand mal das Samsung dies wohl für 2022+ plant. Wobei das + in der Jahreszahl wohl ernst zu nehmen sei.

Geht beiden so. Sowohl Samsung, als auch TSMC planen GAA bei den 3nm Nodes mit ein. Aber Termin wie du schon sagst frühstens 2022. Wird auch erst wieder der Node, wo man größere Sprünge nach 7nm erwarten kann. 5nm ist ein Gammelnode.

basix
2019-01-26, 12:21:08
5nm ist ein Gammelnode.

Würde ich nicht unbedingt sagen. Steigerung der Energieeffizienz soll relativ gering sein, aber die Flächenreduktion ist recht gut.

AffenJack
2019-01-26, 13:33:36
Würde ich nicht unbedingt sagen. Steigerung der Energieeffizienz soll relativ gering sein, aber die Flächenreduktion ist recht gut.

War sie bei 20 nm und 10 nm auch und die beiden Nodes hatten dabei noch größere Energieeffizienzverbesserungen als 5 nm. Ich würde von 5 nm nix großes erwarten.

Eldoran
2019-01-26, 14:04:47
AMD dürfte die 5nm bei TSMC einplanen:
Zitat https://www.thestreet.com/investing/amd-cto-discusses-upcoming-products-14833554:
Expectations for 5-Nanometer Chips
Starting in 2020, TSMC plans volume production for a 5-nanometer (5nm) chip manufacturing process. Papermaster called 5nm "a nice progression" relative to 7nm, one that (with the help of the use of EUV lithography to print a chip's circuits onto silicon) yields benefits in areas such as cost, throughput and power consumption. However, he also called it a more incremental advance for AMD relative to migrating to 7nm.
Allerdings sollte man wohl keine daraus resultierenden Leistungssprünge erwarten.

basix
2019-01-26, 19:01:37
Wieso nicht? Bei gleicher Fläche +70% Einheiten und das Ding halt niedriger Takten. Aber vermutlich werden das die Hersteller nicht machen.

Lehdro
2019-01-27, 01:39:21
Wieso nicht? Bei gleicher Fläche +70% Einheiten und das Ding halt niedriger Takten. Aber vermutlich werden das die Hersteller nicht machen.
Perfekt für den Refresh der Konsolenchips.

Nightspider
2019-01-27, 02:34:59
Mit Chiplets könnte man 5nm halt kurz nach Apples Risk Production bringen. So wie jetzt 6-9 Monate später

Einen neuen Prozess muss man sich ja nicht für Refresh-Generationen aufheben. Und eine PS5 Pro wirds tendenziell eher nicht geben.

Oder was soll die Zielauflösung werden? 5K? 6K? Braucht man am Fernseher eh nicht.

Aber sorry das ich damit angefangen habe. Das gehört ja eher in den NextGen Konsolen-Thread.

Leonidas
2019-01-27, 04:41:35
AMD dürfte die 5nm bei TSMC einplanen:


Warum auch nicht? 2020 kommt mit Zen 3 dann 7nm EUV bei AMD. 2021 dann einfach Zen 4 mit 5nm in einem Prozeß, der dann schon gut läuft.

Lehdro
2019-01-27, 13:01:58
Einen neuen Prozess muss man sich ja nicht für Refresh-Generationen aufheben. Und eine PS5 Pro wirds tendenziell eher nicht geben.

Oder was soll die Zielauflösung werden? 5K? 6K? Braucht man am Fernseher eh nicht.

Aber sorry das ich damit angefangen habe. Das gehört ja eher in den NextGen Konsolen-Thread.
Shrink der KonsolenSoCs klingt realistisch - war bisher eigentlich immer so.

spotz
2019-01-28, 07:12:18
Ja, aber von 7nm auf 5nm erscheint mir zu wenig für Konsolen - dann eher 3nm.

Könnte AMD in 5nm dann bei Epyc und Threadripper doppelt soviele Kerne einbauen, dann aber auch bei grob doppelter Leistungsaufnahme? Ginge das beim aktuellen Sockel oder bräuchten sie dann einen neuen?

Complicated
2019-01-28, 07:33:06
Man kann 7nm auf 5nm nicht vergleichen wie bisher. Es werden immer mehr EUV Layer genutzt ab 7nm+. Darauf wird es ankommen ob das für Unternehmen interessant ist. Und da mit jedem EUV Layer die Kosten fallen ist es das sicherlich. Auch wenn für den Endkunden vielleicht noch nicht so viel rüber kommt. Das wird man erst sehen wenn die ersten EUV Produkte da sind.

Leonidas
2019-01-28, 09:22:25
Für die Konsolen wird das doch nur zur Kostenersparnis genutzt - so 1-2 Jahre nachdem die Massenfertigung läuft und es definitiv günstiger ist. Ergo kein Problem. Ein einfacher interner Refresh ohne Auswirkungen für die Kunden (bis auf sinkenden Strombedarf).

Midrange-Kicker dürfte es in der nächsten Konsolen-Gen sowieso nicht geben, das war die Ausnahme von der Regel.

HOT
2019-01-28, 09:27:41
Ja, aber von 7nm auf 5nm erscheint mir zu wenig für Konsolen - dann eher 3nm.

Könnte AMD in 5nm dann bei Epyc und Threadripper doppelt soviele Kerne einbauen, dann aber auch bei grob doppelter Leistungsaufnahme? Ginge das beim aktuellen Sockel oder bräuchten sie dann einen neuen?
Die Slim-Varianten werden zu 99% einen 5nm-Refresh bekommt, allen aufgrund der sinkenden Chipkosten. Für einen Refresh bietet sich hingegen 3nm mehr an, das stimmt.

Bei 5nm wird sich das mMn anders verhalten als zu 20 und 10nm, weil die große Kostensteigerung ja diesmal mit 7nm einherging. Erst bei 3nm wird man wieder einen großen Kostensprung sehen, da wird man die 5nm gerne mitnehmen dieses Mal.

Zossel
2019-01-31, 18:54:42
Wie wird sich wohl die Absatzkrise bei Handys auf die Chiphersteller auswirken?

Neue Prozesse kommen später?
Wird Intel wieder stärker?

Ok, Intel wird weiter abkacken: https://www.anandtech.com/show/13922/robert-swan-named-ceo-of-intel

Mr. Swan will be the company’s first CEO with financial background

Sunrise
2019-01-31, 21:55:48
Wie wird sich wohl die Absatzkrise bei Handys auf die Chiphersteller auswirken?

Neue Prozesse kommen später?
Wird Intel wieder stärker?

Ok, Intel wird weiter abkacken: https://www.anandtech.com/show/13922/robert-swan-named-ceo-of-intel
Wenn das mal nicht Parallelen zu Apple sind, weiß ich auch nicht.

Platos
2019-01-31, 23:19:37
Man kann 7nm auf 5nm nicht vergleichen wie bisher. Es werden immer mehr EUV Layer genutzt ab 7nm+. Darauf wird es ankommen ob das für Unternehmen interessant ist. Und da mit jedem EUV Layer die Kosten fallen ist es das sicherlich. Auch wenn für den Endkunden vielleicht noch nicht so viel rüber kommt. Das wird man erst sehen wenn die ersten EUV Produkte da sind.

Gibts da einen guten Artikel zu EUV bezüglich funktionsweise.? Habe mich da, abgesehen von basics noch nicht gross beschäftigt.

reaperrr
2019-02-01, 00:36:59
Ok, Intel wird weiter abkacken: https://www.anandtech.com/show/13922/robert-swan-named-ceo-of-intel
Mit Murthy, Raja und Keller hat er aber mehrere Leute unter sich, die in ihrem jeweiligen Bereich top sind.

Kann gut sein, dass er die Roadmaps weitgehend den 3 überlässt und nur final absegnet.

Er macht quasi das Administrative und trifft die engültigen "machen wir" oder "machen wir nicht" Entscheidungen, aber ansonsten können die 3 weitgehend schalten und walten, wie sie wollen.

Möglicherweise effektiver, als sich irgendwoher einen Durchschnitts-CEO zu holen, der sich erst einarbeiten muss und sich dann womöglich in menschlicher Hinsicht als ähnlicher Reinfall wie Krzanich entpuppt und meint, alles besser zu wissen.
Swan ist jetzt lange genug Interims-CEO gewesen, dass sie einschätzen können wie gut er mit den SVPs etc. klarkommt, das kann manchmal wichtiger sein als die reine technische Kompetenz. Du brauchst sicherlich Visionäre, aber die müssen nicht zwingend ganz an der Spitze sitzen, entscheidender ist, wie die Entscheidungsprozesse organisiert sind.

Unicous
2019-02-01, 01:28:55
Der Interims-CEO der jetzt offiziell CEO ist hat über die letzten Monate viele Projekte/Produkte eingestampft die entweder nicht allzu profitabel oder erfolgsversprechend waren.

Er ist durch und durch im Finanzsektor verhaftet und hat keinerlei Kenntnisse im Ingenieursbereich.
Intel war einfach zu feige jemanden aus den eigenen Reihen zu ernennen und hat wohl niemanden von Außerhalb gefunden der ihnen genügt hat.

Das ist alles im Allen das schlechtmöglichste Ergebnis. Sie haben monatelang gesucht und am Ende doch den CFO zum CEO ernannt.

Wir werden sehen ob Herr Swan über seine Kompetenzen hinauswächst und ein IT-Unternehmen mit aktuell (noch) 100K+ Mitarbeitern leiten kann ohne zu versuchen an allen Ecken gesundzuschrumpfen und Projekte einzustampfen, wie es die letzten Monate schon anklingen ließen, und Knowhow aufzukaufen (wie ja wieder bei Mellanox gemunkelt wird) um den Anschluss nicht zu verlieren. Letzeres war im Übrigen auch Krzanichs Strategie, siehe Altera und Mobileye.

mboeller
2019-02-01, 08:12:15
Der Interims-CEO der jetzt offiziell CEO ist hat über die letzten Monate viele Projekte/Produkte eingestampft die entweder nicht allzu profitabel oder erfolgsversprechend waren.


Welche? Ich habe das nicht verfolgt.

gmb
2019-02-01, 13:16:44
Der Interims-CEO der jetzt offiziell CEO ist hat über die letzten Monate viele Projekte/Produkte eingestampft die entweder nicht allzu profitabel oder erfolgsversprechend waren.


Das spricht für ihn, sich von Altlasten zu trennen, die keiner braucht. Intel hat kürzlich große Investitionen in neue Fabriken unter seiner Führung getätigt, das ist positiv zu bewerten.



Intel war einfach zu feige jemanden aus den eigenen Reihen zu ernennen und hat wohl niemanden von Außerhalb gefunden der ihnen genügt hat.

Reine Spekulation deinerseits, natürlich negativ augelegt.


Das ist alles im Allen das schlechtmöglichste Ergebnis. Sie haben monatelang gesucht und am Ende doch den CFO zum CEO ernannt.


Das behauptest du fast exklusiv. Es gibt viele Stimmen, die davon sprechen, dass er eine sehr geeignete Wahl ist. Ib dem so ist, wird die Zukunft zeigen. Es ist fast nur positives zu lesen über ihn, er scheint innerhalb von Intel sehr beliebt zu sein. Im Gegensatz zu seinem Vorgänger, der zig Leute abgesägt hat.



Letzeres war im Übrigen auch Krzanichs Strategie, siehe Altera und Mobileye.


Brian Krzanich hat Prozess background und geht als schlechtester Intel CEO in die Geschichte ein. Wie du siehst, ist technischer background kein Garant für Erfolg. Das bewertest du zu hoch. Ein so großes Unternehmen hat zig Leute in den Unterabteilungen, die was bewegen können und Tag für Tag näher dran sind als ein CEO. Und vielleicht ist es besser so.

reaperrr
2019-02-01, 14:52:49
Der Interims-CEO der jetzt offiziell CEO ist hat über die letzten Monate viele Projekte/Produkte eingestampft die entweder nicht allzu profitabel oder erfolgsversprechend waren.
Fangfrage: Was hat Lisa Su nach Amtsübernahme in den ersten Monaten gemacht?

HOT
2019-02-01, 15:34:36
nen Haufen neuer Projekte gestartet und die APU-Ausrichtung in ihre Schranken gewiesen. Das hat nichts mit der Situation bei Intel zu tun.
Ich sehe das auch eher so, dass jetzt alles auf ein "weiter so" hindeutet, was eher schlecht wäre.

Unicous
2019-02-01, 16:12:18
Fanggegenfrage, was hat Rory Read in seiner Amtszeit gemacht?:confused: (Und btw. was soll der Whataboutism?:confused:)

Lisa Su wurde über zwei Jahre für den CEO-Job vorbereitet, währenddessen war AMD am Boden und kaum handlungsfähig. Im Übrigen kannst du mein Gedächtnis auffrischen ich weiß gerade nicht was Su 2014 alles so mit Amtsübernahme gecancelt hat, ich kann mich auf die Schnelle nur an SeaMicro erinnern und das war April 2015.

2014 hingegen wurden neue Architekturen angekündigt... an denen zu dieser Zeit schon eine Weile gearbeitet wurde. Ich würde also gerne wissen womit du mich fangen willst?:uponder:

Und natürlich ist es nicht schlimm sich von "Altlasten" zu befreien, aber wenn man dies bei einer Firma macht, die eigentlich gut dasteht und das im Endeffekt nur macht um die Aktionäre zu befriedigen hat es ein Geschmäckle. Wir werden sehen ob auch bald wieder ein paar layoffs folgen, dass dürfte nach der Einmaleins-Schule der Finanz-CEOs der nächste Schritt sein.

Mich würde auch interessieren welche Stimmen zu einigen Leuten hier sprechen die die Ernennung als total positiv erachten. Niemand spricht davon, dass Swan eine "ungeeignete Wahl" ist. Es geht eher um das Signal nach außen... und vor allem nach innen, das gesendet wird. Ob die Intel-Mitarbeiter sich besonders wohl fühlen mit einem Finanzchef, der in den letzten Jahren die layoffs von Krzanich unterstützt hat und wahrscheinlich aktiv befördert hat wage ich zu bezweifeln.

Und ob es reine Spekulation ist, dass sie sich nach 6 Monaten doch "nur" auf den Interims-CEO einigen konnten und niemanden anderen für den Job gefunden haben... naja was soll man dazu sagen.:rolleyes:
Das kann man natürlich auch extrem positiv auslegen, dass sie ihm das Vertrauen nach 6 Monaten vergeblicher Suche ausgesprochen haben.:freak:

Das was man über Intel immer wieder hört ist dass es ein riesiger Behemoth ist in dem sich Abteilungen gegenseitig bekämpfen und die Corporate Culture darunter seit Jahren leidet. Es werden immer wieder Abteilungen geschlossen, oder zusammengeführt, unter neue Führung gestellt. Dann wird für ein paar Milliarden eine neue Firma gekauft, ein paar Monate später folgen layoffs.
Ältere Ingenieure werden ausgebootet und in den Ruhestand befördert, Intel hat ein Problem mit Diversität und hat da mal ein paar hundert Millionen in das große Moloch geworfen um das Problem anzugehen, als ob das die Kultur im Unternehmen auf magische Weise ändert.:freak:
Genau das sollte ein CEO angehen, denn der Körper krankt genauso, auch wenn der Kopf stinken mag.

Die Fertigung, aus der Krzanich kommt, war über die letzten Jahre Intels Achillesferse, allein deswegen geht er als schlechtester CEO in die Geschichte ein.:wink:

basix
2019-02-01, 17:38:52
Brian Krzanich hat Prozess background und geht als schlechtester Intel CEO in die Geschichte ein. Wie du siehst, ist technischer background kein Garant für Erfolg.
Die Fertigung, aus der Krzanich kommt, war über die letzten Jahre Intels Achillesferse, allein deswegen geht er als schlechtester CEO in die Geschichte ein.:wink:


Kommt drauf an, wie man das bewertet. Intel hatte noch nie so hohe Aktienwerte (mit Ausnahme Dotcom Blase) und Umsatz / Gewinn sind ebenfalls rekordverdächtig. Finanziell stimmt es eigentlich bei Intel ;) Nur dass sie einen ziemlich fetten Stall in ihrer Produktpipeline haben könnte man ihm anlasten. Ob er da aber überhaupt direkt hätte was steuern können ist fraglich. Ich denke nicht, dass er den 10nm Prozess gross mitdefiniert hat denn seit 2012 war er nicht mehr in diesem Bereich tätig (45nm bis 22nm waren ja gut ;)). Nicht dass ich ihm hier ein Kränzchen binden will aber ich behaupte mal, dass er nicht wirklich der Schuldige ist. Er hatte als CEO aber natürlich die Verantwortung.

gmb
2019-02-02, 04:01:41
Ob er da aber überhaupt direkt hätte was steuern können ist fraglich. Ich denke nicht, dass er den 10nm Prozess gross mitdefiniert hat denn seit 2012 war er nicht mehr in diesem Bereich tätig (45nm bis 22nm waren ja gut ;)). Nicht dass ich ihm hier ein Kränzchen binden will aber ich behaupte mal, dass er nicht wirklich der Schuldige ist. Er hatte als CEO aber natürlich die Verantwortung.



Gerade als CEO mit Prozess background muss man das ihm anlasten. Ein sehr großer Fehler von ihm war gewesen, keinen richtigen Notfallplan in Betracht gezogen zu haben. Frühzeitig wurde die Option Icelake in 14nm verworfen, obwohl schon 14nm Startprobleme gehabt hat. Deswegen gab es ein Skylake refresh nach dem anderen.

Viele haben ihn auch übel genommen, dass unter seiner Führung eine Reihe an renommierten Leuten davongejagt wurden, wie zum Beispiel David Perlmutter. Der wäre damals die bessere Wahl zum CEO gewesen.

Zossel
2019-02-02, 07:55:25
Kommt drauf an, wie man das bewertet. Intel hatte noch nie so hohe Aktienwerte (mit Ausnahme Dotcom Blase) und Umsatz / Gewinn sind ebenfalls rekordverdächtig.

Das beschreibt die Vergangenheit. Die Randbedingungen die das ermöglicht haben, haben sich geändert bzw. ändern sich weiter.

Zossel
2019-02-09, 19:17:01
14 nm FinFET aus China (https://www.anandtech.com/show/13941/smics-14-nm-mass-production-in-1h-2019)

dildo4u
2019-02-12, 09:36:08
Produktionsbeginn: TSMC startet 7-nm-EUV-Chips für Apples A13-SoC

https://www.computerbase.de/2019-02/tsmc-7-nm-euv-chip-apple-a13-soc/

basix
2019-02-12, 10:30:09
Hätte ich später erwartet, aber macht für Apple schon Sinn schon jetzt anzufangen, da der Stückzahlbedarf enorm ist. Ich denke aber nicht, dass GPUs und CPUs dieses Jahr schon in diese Richtung laufen, die werden alle noch im normalen 7nm Prozess kommen (ausser die Renoir APU hätte einen extremen Vorteil davon).

amdfanuwe
2019-02-12, 12:10:40
Wenn ichs richtig verstanden habe, werden bei 7nm+ einige der 7nm Masken durch wenige EUV Masken ersetzt. Also bei 7nm+ weniger Masken, dadurch höherer Yield, geringere Kosten, höhere Präzision.
Es sollte also jedes 7nm Design durch Einsatz von EUV Masken in 7nm+ zu fertigen sein.
Ob sich das für Vega20 bei relativ geringen Stückzahlen lohnt?
ZEN 3 ist eh schon für 7nm+ geplant, ZEN2 umzustellen lohnt also nicht.
Navi wäre ein Kandidat. Zunächst 7nm und später 7nm+, so wie Polaris 10 -> Polaris 20.
Renoir Ende 2019 könnte eng werden mit 7nm+.

Pirx
2019-02-12, 12:29:59
Der Stückzahlbedarf beim ZEN2-7 nm-Chiplet ist auch nicht ohne. Es ist bestimmt wesentlich kleiner, als der Apple Soc.

Brillus
2019-02-12, 15:41:31
Weiß man wie groß der Apple Chip ist? Da könnte man abschätzen ob für die Anfang 2020er Chips die Chancen ausehen

Pirx
2019-02-12, 16:03:26
So viel größer wird der A13 doch nicht sein (hatte bei A12X geschaut). A12 ist 83 mm² (A12X 135 m²).

https://en.wikipedia.org/wiki/Apple-designed_processors#A_series_2

Relic
2019-02-12, 16:15:57
Produktionsbeginn: TSMC startet 7-nm-EUV-Chips für Apples A13-SoC

https://www.computerbase.de/2019-02/tsmc-7-nm-euv-chip-apple-a13-soc/

https://www.eetimes.com/document.asp?doc_id=1332462#

Da weiß man wo die schnellen Fortschritte herkommen :)

Zossel
2019-02-12, 18:31:24
https://www.eetimes.com/document.asp?doc_id=1332462#

Da weiß man wo die schnellen Fortschritte herkommen :)

Und wenn ein Jim Keller durch die Weltgeschichte düst ist das was gaannnnz anderes?

Brillus
2019-02-13, 00:04:03
So viel größer wird der A13 doch nicht sein (hatte bei A12X geschaut). A12 ist 83 mm² (A12X 135 m²).

https://en.wikipedia.org/wiki/Apple-designed_processors#A_series_2

Danke.

Mh dann evtl könnte anfang 2020 ein Mantiss Refresh in 7nm EUV kommen, die APU sehe ich aber noch nicht da (ich erwarte größer als ein Zen2 Chiplet nämlich um die 100-120mm² und eher geringern Preis für APUs).

smalM
2019-02-15, 23:47:03
So viel größer wird der A13 doch nicht sein (hatte bei A12X geschaut). A12 ist 83 mm² (A12X 135 m²).
Die 135 mm² waren die Größe des Schattenwurfs des Thermo-Kontaktmaterials des Dies beim Röntgen - alles andere als eine genaue Messung und mMn. auch nicht gleich zu setzen mit der Größe des Dies, wie vom Autor behauptet.
Rein rechnerisch sind 122 mm² zu erwarten. Ein Die-Shot ist mir allerdings nicht bekannt.

HOT
2019-02-16, 08:20:02
Es ist doch bekannt, dass AMD Zen3 Vermeer für 7 EUV baut.

Die Chiplets ermöglichen doch grade die jährliche Erneuerung der Architektur. Geplant ist wie gesagt bis Zen5 und es ist auch ziemlich klar, wie das aussehen wird. Sicherlich sind die Änderungen nicht so riesig, aber es gibt eben ziemlich sicher jedes Jahr ne neue Maske im passenden Prozess.
Dafür braucht man jeweils dann nur ein I/O-Die für AM4 und eines für AM5 dann. Das käme sicherlich in 12FDX dann.

Nightspider
2019-02-16, 19:33:38
Die I/O Dies wird man aber auch regelmäßig updaten müssen um den Speichertakt zu erhöhen, Latenzen zu senken usw.
Für größere APUs braucht man eh wieder neue I/O Chiplets.

Mortalvision
2019-02-17, 08:00:44
https://www.tsmc.com/tsmcdotcom/PRListingNewsAction.do?action=detail&language=E&newsid=PGWQISTHTH

550 mio. € Schaden ist ne ordentliche Hausnummer. Arme Schweine bei TMSC

Zossel
2019-02-17, 08:35:19
https://www.tsmc.com/tsmcdotcom/PRListingNewsAction.do?action=detail&language=E&newsid=PGWQISTHTH

550 mio. € Schaden ist ne ordentliche Hausnummer. Arme Schweine bei TMSC

Für ein Unternehmen dieser Größenordnung und dessen Kunden ist es sowieso riskant alles auf so einer Miniinsel zu produzieren. Wahrscheinlich werden auch die Lieferanten nicht sonderlich diversifiziert sein.

MadPenguin
2019-02-17, 08:53:18
Für ein Unternehmen dieser Größenordnung und dessen Kunden ist es sowieso riskant alles auf so einer Miniinsel zu produzieren. Wahrscheinlich werden auch die Lieferanten nicht sonderlich diversifiziert sein.

Wo du Recht hast, hast du Recht. Nach dem Wegfall von GF für 7nm ist TSMC sowieso in einer bevorzugten Stellung aber auch mit einer unglaublichen Veranwoetung. Sorry für die blöde Frage, aber produziert Samsung als Auftragsfertiger auch 7nm Chips?

Nightspider
2019-02-17, 10:11:13
Hab ich das eigentlich richtig verstanden?

TSMC will erstmal einen Teil der Layer mit EUV in 7nm belichten und bisher hat man halt wirklich nur den untersten Layer (Transistoren) in 7nm belichtet?

Kann bzw. wird man dann mit 7nm EUV mehr Layer mit feinen 7nm Strukturen belichten, bzw. bringt das noch irgendwelche Vorteile beim Chipdesign bzw. unterm Strich bei der Leistung?

Bzw. steigt die Genauigkeit und die Präzision der 7nm Strukturen, wodurch eventuell höhere Frequenzen ermöglicht werden?

davidzo
2019-02-17, 11:22:57
Hab ich das eigentlich richtig verstanden?

TSMC will erstmal einen Teil der Layer mit EUV in 7nm belichten und bisher hat man halt wirklich nur den untersten Layer (Transistoren) in 7nm belichtet?

Jein, Neben Fin und Gate müssen auch die ersten Metal Layer mit einem ebenso feinen Pitch wie die Transistoren belichtet werden.

Man kann sich das vorstellen wie ein Multilayer PCB, das sehr feine Interconnects, aber auch mehrere Power- und Ground Layers enthält. Die Oberfläche muss so fein sein wie die Footprints der Baueile selber, wenn die Leitungen breiter wären könnte man die Transistoren gar nicht anschließen.
In der Regel verwendet man 3-5 Layer sehr feine Metal Interconnects für die erste Stufe des Fabrics. Für Power Distribution Layer und längere Signalwege wären diese feinen Stufen aber mit erheblichen Leckströmen bzw. elektrischen Widerständen verbunden, daher sind die letzten Metall-Layer nicht nur fast 1000fach gröber (30x30), sondern auch wesentlich dicker. Das ist aber traditionell schon immer so gewesen bei Chipdesign, nicht erst seit den neuesten Prozessen. Irgendwie muss man ja später auf die grobe Auflösung des package bonding bishin zu den Lötpunkten kommen...

Anandtech hat ganz gute Erklärungen dazu: https://www.anandtech.com/show/13405/intel-10nm-cannon-lake-and-core-i3-8121u-deep-dive-review/3


Kann bzw. wird man dann mit 7nm EUV mehr Layer mit feinen 7nm Strukturen belichten, bzw. bringt das noch irgendwelche Vorteile beim Chipdesign bzw. unterm Strich bei der Leistung?

Bzw. steigt die Genauigkeit und die Präzision der 7nm Strukturen, wodurch eventuell höhere Frequenzen ermöglicht werden?

So Pauschal kann man das nicht sagen. Es ändern sich einfach die Designregeln und Prozesschritte. Aktuelle Immersions-Litographie braucht eine Menge Tricks um so feine Strukturen herzustellen. Double, triple, quadruple oder whatever Patterning sorgt für allerlei Design-Einschränkungen. Die Anzahl der Masken steigt zudem exponentiell je größer der Abstand der Wellenlänge des genutzen Lichts zu den zu erzeugenden Strukturen.
Mit EUV werden neue Designregeln etabliert, die erst einmal einfacher sind (aber weniger ausgereift/optimiert). Zudem braucht man viel weniger Masken, was die Investitionen in ein neues Chipdesigns theoretisch billiger macht.
Jedenfalls steigt auch die Belichtungszeit, weil EUV Lichtquellen meist nicht so stark sind wie UV, außerdem steigen die Kosten, da die Lebesdauer/Halbswertszeit von EUV Dioden viel kürzer ist. Die Kosten für neue Masken sinken also etwas, während der Prozess an sich aber eher teurer wird.
Langfristig werden die Design-tricks sicher auch bei EUV immer ausgefeilter und es lässt sich dann mit Sicherheit viel mehr herausquetschen als aus UV-Immersionslitographie.

Nightspider
2019-02-17, 11:52:47
Klingt logisch. Danke für die gute Erklärung.

Mir schwirrte gerade so eine Idee durch den Kopf.
Chips zu stacken bringt ja auch immer einige Probleme und Kompromisse mit sich.

Könnte man in Zukunft einen Waffer nicht von beiden Seiten belichten und beide Oberflächen durchkontaktieren (tiefe TSVs) und zB. Logik und viel größere Caches auf die andere Seite eines Wafers aufbringen?
Damit hätte man ja doppelt so viel Fläche und kurze Signalwege/-laufzeiten.

Wird sowas vielleicht mal kommen wenn man bei 3/2nm langsam an die physikalischen Grenzen kommt um die Leistung weiter zu steigern?

Setsul
2019-02-17, 13:51:41
"In 7nm" wird sowieso nicht belichtet. Minimum Metal Pitch wäre zum Beispiel 40nm.
Wenn man das mit 193nm Licht belichtet dann braucht man unglaublich viele Tricks und mehrere Masken, also mehrere Belichtungsvorgänge. Mit 13,5nm EUV geht es wieder wie früher mit einer Maske. Die Ränder werden auch entsprechend schärfer, die Yields höher. Das kann dann zu höheren Frequenzen oder niedrigerem Verbraucht führen, aber die Hauptziele sind niedrigere Kosten und einfacheres Design.
1 statt 6 Masken ist einfach billiger und einfacher.

Wenn man dann noch kleinere Strukturen will wird der Vorteil von EUV noch größer weil es einfach unmöglich ist mit DUV oder eine absurde Anzahl von Masken pro Layer erfordet.

Der Grund wieso man nicht alle Layer mit EUV macht sind die Kosten. EUV ist teurer und für die obersten Layer mit >10000nm (10µm) Pitch ist es völlig unnötig und sinnlos. 200nm sind mit DUV auch noch recht angenehm zu schaffen. Selbst 70nm, wo man dann Double Patterning oder ähnliche Spielereien braucht bleiben auf DUV weil 2 Masken aufeinander auszurichten nicht so tragisch ist, das muss man bei jedem Via von einem Layer zum anderen richtig machen, und 2 mal DUV ist billiger als 1 mal EUV. EUV wäre sicherlich besser und schöner, aber kostet mehr. Aber bei 40-50nm wo man für DUV mit 6 Masken hantieren müsste nur damit das dann eher mittelprächtige Yields produziert, da will man definitiv EUV.
EUV ist also immer nur für die untersten, feinsten Layers interessant.


Beidseitig belichten bringt nichts, dann müsste man die belichtete "Rückseite" sehr viel vorsichtiger behandeln und außerdem TSVs durch die gesamte Dicke treiben. Es ist wesentlich geschickter zwei einseite Wafer zu nehmen und dann einen oder beide abzuschleifen. Je nachdem was weniger Aufwand ist nimmt man dann die TSVs entweder für die Verbindung zwischen den Dies oder für die Verbindung nach außen (siehe HBM).

Also PCB -> TSV (Dicke von Wafer 1, aber abschleifbar wenn man wirklich will) -> Metalstack/Transistoren Wafer 1 -> M/T Wafer 2 und darüber der ganze tote Teil des Wafers 2 bringt den minimalen Weg für die Verbindung zwischen den Wafern und nach außen machen ein paar µm mehr auch keinen Unterschied (und man könnte abschleifen wenn man will).
PCB -> W1 -> TSV (abgeschliffen) -> W2 ist auch besser als besser als der beidseite Wafer mit
PCB -> Seite 1 -> TSV (volle Dicke, kann man ja nicht mehr abschleifen) -> Seite 2.

BoMbY
2019-04-05, 13:26:56
TSMC startet 5-nm-Risk-Production (https://www.golem.de/news/auftragsfertiger-tsmc-startet-5-nm-risk-production-1904-140486.html) ...

Das kommt doch etwas schneller als ich erwartet habe.

w0mbat
2019-04-05, 13:33:17
Naja, 7nm (N7) läuft ja schon eine ganze Weile über eine größere Produktpalette, von Apple bis zu AMD. 7nm EUV (N7+) ist auch schon in den Startlöchern. Und wenn EUV schon läuft ist es klar, dass man jetzt mit N5 anfängt zu proben.

Platos
2019-04-05, 13:46:40
Ich finde nicht, dass das unerwartet kommt. Ich hätte auch schon davor auf solche Zeiträume spekuliert (habe ich bestimmt schon hier).

Ich würde sagen, die meisten (aktiven) Forennutzer hier schätz(t)en 2020 für 5nm Smartphone-SoCs ein.

Wenn EUV erstmal verfügbar ist - was es ja mit 7nm+ bei TSMC wird und Samsung nimmt gleich EUV für ihr 7nm Prozess - dann ist 5nm eig. "kein Problem" mehr. Ich denke auch 3nm wird zeitig folgen (Mitte-Ende 2021). Was danach kommt, wird interessant. Samsung hat ja für 3nm schon "Gate-All-Around field-effect transistors (GAAFET)" angekündigt.

M4xw0lf
2019-04-05, 13:50:51
Diese ganze Nomenklatur ist sowieso nur noch random.
"7nm" N7 basiert auf 193 nm DUV Laser, "7nm" N7+ ist eine Mischung aus 193 nm und 13,5 nm Belichtung, "5nm" N5 ist der erste reine 13,5 nm EUV Prozess, aber Strukturen in 7 oder gar 5 nm Breite wird man wohl in keinem der so gefertigten Chips finden.

Platos
2019-04-05, 14:12:49
Ja schon klar, aber irgend eine Bezeichnung muss man ja wählen, damit alle das selbe verstehen (hier im Forum).

Bei Wikichip.org kann man btw. manche Werte leicht finden. Ansonsten ist jedes Mal mühsame Google-Recherche fällig.

Relic
2019-04-05, 16:36:56
Diese ganze Nomenklatur ist sowieso nur noch random.
"7nm" N7 basiert auf 193 nm DUV Laser, "7nm" N7+ ist eine Mischung aus 193 nm und 13,5 nm Belichtung, "5nm" N5 ist der erste reine 13,5 nm EUV Prozess, aber Strukturen in 7 oder gar 5 nm Breite wird man wohl in keinem der so gefertigten Chips finden.

5nm wird nicht rein EUV sein sondern weiter nur für ein Teil der Masken EUV verwenden.

Naitsabes
2019-04-05, 19:58:13
Rein EUV wäre eh totaler Quatsch.

Zossel
2019-04-06, 13:29:13
TSMC startet 5-nm-Risk-Production (https://www.golem.de/news/auftragsfertiger-tsmc-startet-5-nm-risk-production-1904-140486.html) ...

Das 5-nm-Verfahren soll - verglichen mit dem 7-nm-Prozess - ohne EUV eine rund 80 Prozent höhere Transistordichte und eine um 15 Prozent gestiegene Schaltgeschwindigkeit aufweisen.

Wird die mögliche Transistordichte voll auf das SRAM heutiger [GC]PU-Caches im HPC-Bereich durchschlagen?

Kann das jemand einschätzen?

Skysnake
2019-04-06, 13:56:06
Ja normal wird das auf SRAM bezogen, welcher ziemlich viele Designrules verletzt. Man selbst bekommt die Dichte niemals hin.

Teilweise wird sich aber auch auf normale Logik bezogen, wobei das dann meist. So Sachen sind wie Kern xy ist jetzt X % kleiner.

reaperrr
2019-04-06, 15:43:44
Wird die mögliche Transistordichte voll auf das SRAM heutiger [GC]PU-Caches im HPC-Bereich durchschlagen?

Kann das jemand einschätzen?
Zum Vergleich, TSMC spricht von bis zu 70% Einsparung bei 7nm vs. 16FF+. Laut AMD sind es in der Praxis eher rund 50% ("2X Density"), auch wenn da vielleicht Flächenvorteile von 14LPP enthalten sind. Trotzdem, man kann grob sagen, dass TSMCs Angaben bezogen auf Performance-orientierte GPUs/CPUs um ca. 30-40% übertrieben sind.
Falls das auf 5nm ähnlich zutrifft, reden wir in der Praxis "nur" von ca. 30-35% weniger Fläche zu 7nm.
Da aber die Verbesserungen bei Verbrauch und "Performance" (meint idR Takterhöhung bei reinem Die-Shrink und gleichbleibender TDP) bei 5nm relativ schwach ausfallen, müssen die Hersteller für darüber hinausgehende Performance-Steigerungen entweder mehr Transistoren für IPC oder mehr Masse-Transitoren für mehr Takt einsetzen, so dass am Ende des Tages sogar noch weniger Flächeneinsparung stehen könnte.
Andererseits, da SRAM-Zellen den Best-Case darstellen bzgl. Flächenersparnis, könnte man evtl. auch "einfach" zusätzliche Performance schlicht über mehr Cache erreichen (muss ja nicht gleich L3-Verdoppelung sein, 16KB mehr L1D- oder 32KB mehr L1I-Cache wären ebenfalls Möglichkeiten).

Eine solche Steigerung, wie wir sie jetzt von 16FF+/12FFN/14LPP/12LP auf 7nm sehen werden, wird es aber so schnell nicht wieder geben, sonst würde auch TSMC nicht davon reden, dass 5nm im Gegensatz zu 10FF langlebig werden soll, trotz der schwachen Perf/Power-Steigerung.
3GAA wird also vermutlich entweder länger dauern, oder ebenfalls nur bei der Fläche größere Fortschritte bringen (oder monströs teuer, so dass die meisten Kunden trotzdem lange bei 5nm bleiben werden).

Nightspider
2019-04-06, 18:27:59
Wenn sie jetzt schon die Risc Produktion hochfahren kann das ja fast nur iPhones mit 5nm SoC Ende des Jahres bedeuten oder? :confused:

Wäre für Apple zumindest untypisch dann nochmal auf einen alten Prozess zu setzen wenn der Neue schon um die Ecke ist.
So hohe Stückzahlen wie früher scheint Apple ja eh nicht mehr zu benötigen, da der Markt langsam gesättigt bzw. am stagnieren ist.

Diese ganze Nomenklatur ist sowieso nur noch random.
"7nm" N7 basiert auf 193 nm DUV Laser, "7nm" N7+ ist eine Mischung aus 193 nm und 13,5 nm Belichtung, "5nm" N5 ist der erste reine 13,5 nm EUV Prozess, aber Strukturen in 7 oder gar 5 nm Breite wird man wohl in keinem der so gefertigten Chips finden.

Naja irgendwo muss man ihn vom Namen ja einordnen und bei bis zu 80% Flächenersparnis im Vergleich zu 7nm passt die Bezeichnung 5nm schon gut ins Bild.


Am Ende stellt sich die Frage ob dann Anfang 2021 nicht doch eine PS5 mit 5nm möglich wäre.

Der 28nm Prozess war damals auch sehr frisch, als die PS4 auf den Markt kam, wenn ich mich nicht irre.
Gerade da die Sprünge immer kleiner werden, ist es fraglich ob es nicht sinnvoll wäre noch ein halbes Jahr länger zu warten bis 5nm reif ist.

Kapazitäten wird TSMC eh aufstocken müssen, egal ob für 7nm oder 5nm wenn die neuen Konsolen erscheinen.


Massiv Offtopic: Aber wie lustig wäre es denn wenn AMD ein Teil der Zen 2 CPUs für eine hohe Preislage für den Mobilsektor oder HPC Sektor auf 5nm shrinken würde, trotz risc production? ;D Einfach weil man es kann. xD

Ravenhearth
2019-04-07, 02:12:20
Du irrst dich was die PS4 angeht. Da gabs 28nm schon fast zwei Jahre lang.

Außerdem heißt es Risk. Risc ist was anderes :D

Nightspider
2019-04-07, 02:36:55
Hmm, die ersten GPUs waren mitunter die HD7870, welche mit ~200mm² im März 2012 in 28nm erschien oder?
Wenn Wikipedia mich nicht anlügt ist Apple erst im September 2013 auf 28nm HKMG gewechselt.

Die PS4 kam Ende 2013. Dann waren es eher so 1-1,5 Jahre oder?

Vega2 ist ja auch nicht gerade klein und kam schon ein halbes Jahr nach Apples erstem 7nm Produkt auf den Markt.
2 Jahre sind eine gute Orientierung zwischen Risk-Produktion und Massenproduktion für größere GPUs/SoCs, denke ich.

Unicous
2019-04-07, 03:59:01
Sony und MS hatten zu der Zeit nichts anderes als 28nm.

Der Prozess an sich war zu der Zeit schon hoffnungslos verspätet (TSMC ging irgendwann mal von 2010 aus:freak:) und die roadmaps für die anderen Prozesse wurden gefühlt alle 6 Monate um ein weiteres Jahr verschoben.

Historisch gesehen haben sowohl Sony als auch MS zeitnah den "neuesten" verfügbaren Prozess genutzt und wenn ein neuer Prozess für die current gen verfügbar war auf diesen portiert. Ich könnte mir sogar vorstellen, dass sie den ursprünglich für Ende 2012 angekündigten High Performance 20nm Prozess genutzt hätten.

5nm bei einem Start Ende 2020 halte ich aber mehr als gewagt, da eben Apple und Co. auch etwas vom Kapazitätenkuchen abhaben wollen. Zu 28nm Zeiten war Apple nämlich noch gar nicht auf dem "Erster!"-Trip wenn es um neue Fertigungsprozesse geht. Der erste 28nm Chip kam erst September 2013 und wurde von Samsung produziert.

Es wäre auch nicht die allergrößte Überraschung wenn einer der Beiden Samsung als Fertiger nutzt, je nachdem wie sich der 7nm EUV Prozess schlägt. Und 2020 kann man davon ausgehen, dass der Prozess schon halbwegs ausgereift ist.

robbitop
2019-04-07, 08:04:22
Die 7970 hatte auch 28 nm und kam sogar im Dez 2011 raus.
Oktober 2012 der Snapdragon S4 der bereits zur CES gezeigt wurde.
Apples A6 hingegen lief in diesem Jahr noch mit 32 nm hkmg.

Erste Gerüchte besagen 7nm euv für A13.

HOT
2019-04-07, 09:36:25
Zum Vergleich, TSMC spricht von bis zu 70% Einsparung bei 7nm vs. 16FF+. Laut AMD sind es in der Praxis eher rund 50% ("2X Density"), auch wenn da vielleicht Flächenvorteile von 14LPP enthalten sind. Trotzdem, man kann grob sagen, dass TSMCs Angaben bezogen auf Performance-orientierte GPUs/CPUs um ca. 30-40% übertrieben sind.
Falls das auf 5nm ähnlich zutrifft, reden wir in der Praxis "nur" von ca. 30-35% weniger Fläche zu 7nm.
Da aber die Verbesserungen bei Verbrauch und "Performance" (meint idR Takterhöhung bei reinem Die-Shrink und gleichbleibender TDP) bei 5nm relativ schwach ausfallen, müssen die Hersteller für darüber hinausgehende Performance-Steigerungen entweder mehr Transistoren für IPC oder mehr Masse-Transitoren für mehr Takt einsetzen, so dass am Ende des Tages sogar noch weniger Flächeneinsparung stehen könnte.
Andererseits, da SRAM-Zellen den Best-Case darstellen bzgl. Flächenersparnis, könnte man evtl. auch "einfach" zusätzliche Performance schlicht über mehr Cache erreichen (muss ja nicht gleich L3-Verdoppelung sein, 16KB mehr L1D- oder 32KB mehr L1I-Cache wären ebenfalls Möglichkeiten).

Eine solche Steigerung, wie wir sie jetzt von 16FF+/12FFN/14LPP/12LP auf 7nm sehen werden, wird es aber so schnell nicht wieder geben, sonst würde auch TSMC nicht davon reden, dass 5nm im Gegensatz zu 10FF langlebig werden soll, trotz der schwachen Perf/Power-Steigerung.
3GAA wird also vermutlich entweder länger dauern, oder ebenfalls nur bei der Fläche größere Fortschritte bringen (oder monströs teuer, so dass die meisten Kunden trotzdem lange bei 5nm bleiben werden).

Ein paar Anmerkungen.
1.) Es gibt zwei Varianten des 7nm-Prozesses, eine die auf Packdichte optimiert ist und eine HPC-Variante für hohe Leistungen. AMD verwendet den HPC-Prozess. Die Packdichte ist also nicht so hoch, wie beim Mobilprozess.
2.) Der I/O-Bereich von V20 ist ziemlich groß und benötigt nicht viele Transistoren. Das sabotiert natürlich die Packdichte insgesamt etwas. Mal sehen wie es bei Matisse aussieht. Das ist auf jeden Fall nicht der Weisheit letzter Schluss, was die da bei V20 gemacht haben.
3.) 5nm soll nochmal erheblich enger gepackt sein als 7nm, das Gegenteil ist also der Fall. EUV ermöglicht eben relativ leicht wieder dichtere Strukturen. Allerdings wird hier die Diskrepanz zwischen mobil- und HPC-Variante mMn noch größer ausfallen.
4.) Die Leistungssteigerungen werden nicht mehr so krass ansteigen. Bei GAAFET gibts sicherlich nochmals einen Sprung, aber der Ertrag nimmt ímmer mehr ab. Von 7 auf 5nm sollen es AFAIK noch 15% sein, das wird man im PC-Bereich kaum merken, wenn man an die Taktgrenzen geht. AMD wird spätestens bei 5nm auch gezwungen sein mehr in die Breite zu gehen bei GPUs, was NV ja jetzt schon tut. Wie passend, dass man da offenbar ne komplett neue Architektur vorbereitet.
5.) TSMC redet davon, dass 5nm langlebig sein soll, da 3GAAFET sehr viel teurer sein wird. Für die allermeisten Kunden ist 3GAAFET für Kosten/Nutzen anfangs uninteressant. 5FF wird ein Brot und Butter-Prozess, wie 28 und 14/16nm werden. Hier ist 7nm eher als Zwischenschritt zu betrachten, mit dem EUV salonfähig gemacht wird. In Wirklichkeit ist 5nm kaum mit 20/10nm zu vergleichen. Die Kostensteigerungen dieser Prozesse waren enorm und der Nutzen minimal. Das ist bei 5nm anders.

amdfanuwe
2019-04-07, 12:18:10
Hier ein Artikel, warum 5nm so interessant ist.
https://semiengineering.com/single-vs-multi-patterning-euv/

Unicous
2019-04-07, 13:18:32
Die 7970 hatte auch 28 nm und kam sogar im Dez 2011 raus.
Oktober 2012 der Snapdragon S4 der bereits zur CES gezeigt wurde.
Apples A6 hingegen lief in diesem Jahr noch mit 32 nm hkmg.

Erste Gerüchte besagen 7nm euv für A13.

Was willst du uns damit sagen? Die 7970 hatte Ende Dezember einen grandiosen paper launch und fast das ganze Jahr gab es Lieferschwierigkeiten bei AMD und Nvidia.

Da Apple höchstwahrscheinlich im September wieder neue Produkte vorstellt dürfte klar sein, dass sie 7+ nutzen werden. Es geht um 2020.

Nightspider
2019-04-07, 14:48:26
5nm im 4Q20 halte ich auch für unwahrscheinlich aber im 1. oder 2. Quartal 2021 könnte es schon wieder ganz anders aussehen und die Wahrscheinlichkeit für 5nm Konsolenchips steigt enorm.

Vor allem falls die Konsolen aus Chiplets bestehen sollten.

Unicous
2019-04-07, 15:31:15
Seit wann braucht man 1 1/2 Jahre von risk production bis HVM?:confused:

TSMC hat im Januar gesagt, tape outs im ersten Halbjahr 2019, volume production erstes Halbjahr 2020.

Je nachdem wer alles auf den 5nm-Zug aufspringt wäre das halbwegs realistisch.
Ob es wirtschaftlich ist und ob Sony/MS sich genügend Kapazitäten sichern können steht natürlich auf einem anderen Blatt. Besonders bei einem hypothetischen Chiplet-Design bräuchte man sich nicht allzu viele Sorgen über Yield machen wenn die Chips z.B. nur 150mm² groß sind.

Und warum Samsung anscheinen außen vor gelassen wird verstehe ich auch nicht, mit 5LPE hat man einen "Produktpflege"-Prozess von 7LPP in Planung der 2020 auch in volume production geht. Globalfoundries wurde auch schon genutzt, von daher würde ich Samsung nicht von vornherein ausschließen.

edit:
Nochmal zu 28nm: AMDs erster 28nm Chip von Gobalfoundries war Kabini im Mai 2013, iirc. Der Xbox One Chip wurde ab November 2013 auch bei GF produziert. https://www.golem.de/news/spielekonsole-neuer-20-nm-chip-fuer-sparsamere-xbox-one-ist-fertig-1410-110237.html

basix
2019-04-07, 15:36:10
5nm Mobile != 5nm HPC. Siehe 7nm, wo Mobile schon lange läuft. Je nach dem was AMD mit ihren Designs anbieten kann, kann man nicht einfach den jetzigen 5nm Prozess nehmen, welcher definitiv auf Mobile getrimmt sein wird.

Nightspider
2019-04-07, 15:37:51
Bei der ganzen Überlegung PS5 in 5nm gehts natürlich auch um die Wirtschaftlichkeit.

Ebenfalls kann man auch ganz schnell ins Hintertreffen geraten wenn PS5 in 7nm kommt und 6 Monate später die Xbox Two Y in 5nm mit 25% mehr CPU Kernen und 30% mehr GPU Power.

Die Konkurrenz muss ja auch immer im Blick behalten werden.

Mortalvision
2019-04-07, 15:53:57
Freut mich, dass es doch so schnell weitergeht. Der große Sprung auf 3mm EUV ist dann härter?

Unicous
2019-04-07, 16:13:31
5nm Mobile != 5nm HPC. Siehe 7nm, wo Mobile schon lange läuft. Je nach dem was AMD mit ihren Designs anbieten kann, kann man nicht einfach den jetzigen 5nm Prozess nehmen, welcher definitiv auf Mobile getrimmt sein wird.

Der "Unterschied" zwischen N7 Mobile und N7 HPC sind 6T und 7,5T, keine Ahnung was du da für enorme Schwierigkeiten siehst?:confused: Das sind nicht zwei verschiedene Prozesse, es sind verschiedene Design Libraries. Mobile kommt zuerst weil Apple und Co. seit einiger Zeit dafür zahlen, die ersten zu sein (und kleine Chips logischerweise besser zu fertigen sind). Wie das bei 5FF aussieht wird man sehen.

Zudem wird VegaII auch seit einer Weile gefertigt und basiert höchstwahrscheinlich nicht auf Mobile.

robbitop
2019-04-08, 13:26:42
Was willst du uns damit sagen? Die 7970 hatte Ende Dezember einen grandiosen paper launch und fast das ganze Jahr gab es Lieferschwierigkeiten bei AMD und Nvidia.

Da Apple höchstwahrscheinlich im September wieder neue Produkte vorstellt dürfte klar sein, dass sie 7+ nutzen werden. Es geht um 2020.
Ich wollte lediglich für Aufklärung sorgen, wann welche größere 28 nm Produkte im Markt angekommen sind, da es hier von mehreren Seiten Unklarheiten zu geben schien. Selbiges für A13.

btw: Die 7970 war 1-2 Monate später relativ breit verfügbar.

w0mbat
2019-04-08, 14:14:29
Zumal relativ schnell die "GHz-Edition" kam, da lief der Prozess also.

HOT
2019-04-08, 14:39:59
Hier ein Artikel, warum 5nm so interessant ist.
https://semiengineering.com/single-vs-multi-patterning-euv/

Interessant. Überspringen AMD und NV evtl. 7nm EUV um gleich mit 5nm EUV weiterzumachen? Das könnte Produkte 2021 bedeuten, nach dem bisherigen Zeitplan.

basix
2019-04-08, 17:44:20
Bis jetzt hat AMD überall 7nm+ für den Nachfolger auf den Folien ;) Und die kennen beide Prozesse deutlich besser als wir. 5nm wird aufgrund des EUV Double Patternings nochmal deutlich teurer. Das muss sich erst mal rechnen. Also allerfrühestens Anfang 2021 für die Masse.

HOT
2019-04-08, 17:56:53
Stimmt, für Vermeer und für nach Navi stand da immer 7nm+. Kann man natürlich auch als 7nm + deuten, also besser als die jetzigen 7nm. Es war ja nicht explizit von TSMCs Bedeutung die Rede.

Leonidas
2019-04-09, 04:20:51
Wenn sie jetzt schon die Risc Produktion hochfahren kann das ja fast nur iPhones mit 5nm SoC Ende des Jahres bedeuten oder? :confused:


Nein. Der A13 kommt als 7FF+. 5nm im iPhone ist dann Thema 2020.

Kriton
2019-04-11, 13:49:28
Zumal relativ schnell die "GHz-Edition" kam, da lief der Prozess also.

Die hatte aber auch einen höheren Verbrauch, oder (auch wenn das immer noch einen besseren Prozess impliziert)?

smalM
2019-04-15, 15:49:51
Nein. Der A13 kommt als 7FF+.

Dazu gibt's ein neues Gerücht: N7 Pro (https://www.digitimes.com/news/a20190415VL202.html).

smalM
2019-04-16, 18:00:46
TSMC schiebt zwischen 7nm und 5nm noch einen 6nm-Prozeß ein (https://www.tsmc.com/tsmcdotcom/PRListingNewsAction.do?action=detail&newsid=THWQWQTHTH&language=E)
Sieht stark nach einem N7++ aus, als Upgrade-Möglichkeit für die Kunden, denen N5 zu teuer ist.

PS:
TSMC gibt eine 18% höhere Transistordichte gegenüber N7 an; wurde nicht bereits für N7+ eine 15% höhere Dichte gegenüber N7 angegeben?
Wir werden hoffentlich mehr am 23. April erfahren, wenn das TSMC Technology Symposium stattfindet.

Leonidas
2019-04-17, 06:55:24
2x sehr interessant. Danke!

Pirx
2019-04-17, 08:54:38
Intel gibt 5G auf https://www.anandtech.com/show/14229/intel-exits-5g-smartphone-modem-market-other-client-modem-businesses-to-be-reviewed (nachdem Apple zu QCOM "wechselte")

smalM
2019-04-17, 18:28:13
nachdem Apple zu QCOM "wechselte"
Wohl eher andersrum. Intel liefert nicht und Apple muß sich mit QC einigen.

smalM
2019-04-17, 18:52:42
AnandTech: Intel's Interconnected Future: Combining Chipslets, EMIB, and Foveros (https://www.anandtech.com/show/14211/intels-interconnected-future-chipslets-emib-foveros)

mczak
2019-04-17, 19:57:43
Wohl eher andersrum. Intel liefert nicht und Apple muß sich mit QC einigen.
Fragt sich natürlich ob intel 2020 überhaupt die Fertigungskapazitäten hätte? Für ein 5G-Modem reicht wohl 14nm (egal mit wie vielen +) definitiv nicht mehr? Und der 10nm Prozess hat ja bekanntlich "ein bisschen" Verspätung. Selbst wenn intel gegen Ende Jahr endlich damit was liefern kann hat man da wohl nicht gleich Kapazität um alle möglichen Chips zu fertigen. Und die Gewinnmarge bei den CPUs dürfte deutlich höher sein als für so ein popeliges Modem (ganz abgesehen davon dass man diese 10nm CPUs wirklich unbedingt benötigt).

Leonidas
2019-04-18, 06:03:30
TSMC gibt eine 18% höhere Transistordichte gegenüber N7 an; wurde nicht bereits für N7+ eine 15% höhere Dichte gegenüber N7 angegeben?



6nm wird angegeben mit +18% höherer Packdichte. Die Flächenreduktion ist liegt bei -15%.

smalM
2019-04-18, 10:58:07
@Leonidas
Danke für den Hinweis, aber Prozentrechnung ist mir bekannt :wink:

Was ich nicht genug beachtet hatte:
"At the same time, its design rules are fully compatible with TSMC’s proven N7 technology, allowing its comprehensive design ecosystem to be reused. As a result, it offers a seamless migration path with a fast design cycle time with very limited engineering resources for customers to achieve the product benefits from the new technology offering."

Ich verstehe das so, daß N6 einen Upgrade-Pfad vom DUV N7 zum EUV N7+ offeriert, nur ohne große Anpassungen am Design vornehmen zu müssen. Das könnte aus dem N7+ einen very-short Node machen...

basix
2019-04-18, 18:44:38
Wäre ich mir nicht so sicher, da 5nm schon wieder Double Patterning benötigt. Sobald EUV stabil und in Massen läuft wird 7nm+ der mit Abstand günstigste EUV Prozess pro Wafer sein. Aber ob das dann 6nm heisst oder 7nm+ ist ja egal.

prinz_valium
2019-04-18, 21:22:29
https://i.imgur.com/AWQTSwg.png

10nm ist sowas von tot schon jetzt.

Da 7nm und 7nm+ zusammen gefasst werden, sollte es mit dem Prozess aber wieder aufwärts gehen.

Unicous
2019-04-18, 22:07:43
Ja, schon lustig wie TSMC versucht hat nicht die gleichen Fehler wie bei 20nm zu machen und 10nm dann im Endeffekt das gleiche Schicksal teilt. Immerhin haben Apple, QC und MediaTek (wer noch?) ja ein paar Chips fertigen lassen, aber es ist schon bezeichnend, dass der Prozess schon wieder so gut wie EOL ist und schon im Vorhinein wichtige Kunden verlautbart haben, dass sie den Prozess überspringen.:wink:

smalM
2019-04-19, 02:22:38
Immerhin haben Apple, QC und MediaTek (wer noch?) ja ein paar Chips fertigen lassen, aber es ist schon bezeichnend, dass der Prozess schon wieder so gut wie EOL ist und schon im Vorhinein wichtige Kunden verlautbart haben, dass sie den Prozess überspringen.
Der einzige Kunde, auf den es ankam, war Apple; und der hat einen Haufen Geld an TSMC überwiesen.
Wo also siehst Du da ein Problem?

Nightspider
2019-04-19, 02:33:21
Schade das Sony, MS und Nintendo ihre Konsolenchips nicht auf 10nm shrinken, wenn dort so viel Kapazität brach liegt.
Aber vielleicht kommt das ja in den nächsten Monaten.

Es heißt ja das TSMC dabei ist wieder Kunden dafür zu aquirieren.

Unicous
2019-04-19, 04:14:03
Der einzige Kunde, auf den es ankam, war Apple; und der hat einen Haufen Geld an TSMC überwiesen.
Wo also siehst Du da ein Problem?

Das Problem ist: Quartalszahlen: TSMCs Umsatz und Gewinn fällt deutlich (https://www.computerbase.de/2019-04/quartalszahlen-tsmc-umsatz-gewinn-q1-2019/)

Apple mag einen Haufen Geld überwiesen haben, TSMC hat aber auch einen Haufen Geld in den node investiert und jetzt läuft er wie 20nm unter ferner liefen.

Es stellt sich also die Frage ob sich die Investition gelohnt hat oder man das lediglich in der Mischkalkulation auffängt. Ich behaupte, dass TSMC nicht damit gerechnet hat dass 10nm so extrem "short-lived" ist wie sie selbst verlautbart hatten. Nach ca. 2 Jahren sind wir schon auf dem Niveau das sie wahrscheinlich Anfang 2014 mit 20nm hatten und dieser Prozess trägt immerhin momentan noch 1% zum Umsatz bei, nach 5 Jahren. 10nm ist nach 2 Jahren bereits bei 4% angelangt, im nächsten Quartal wird man schätzungsweise sich dem 20nm Umsatz noch weiter annähern.

Es stellt sich also die Frage ob TSMC wirklich dachte, dass 10nm im Gegensatz zu den mittlerweile 5 Jahren 20nm Produktion vergleichsweise rasant dem EOL nähert.

Ich würde mich daher nicht allzu sehr darauf ausruhen, dass Apple alljährlich einen neuen Check ausstellt, wie man ja bei 7nm sieht.:rolleyes:

Lehdro
2019-04-19, 10:54:47
Es stellt sich also die Frage ob TSMC wirklich dachte, dass 10nm im Gegensatz zu den mittlerweile 5 Jahren 20nm Produktion vergleichsweise rasant dem EOL nähert.
Das Problem ist wie du schon schreibst die geringe Adoption bei der Kundschaft - es war als Großkunde quasi nur Apple. Und selbst Apple wusste nicht, oder hat zumindest nicht damit gerechnet, dass deren iPhones die mit dem 10nm Chip bestückt waren (iPhone X meine ich) sich erstens richtig schlecht verkauft haben und zweitens die kürzeste Lebenszeit als Topmodell von Apple haben werden. Sowas kann man schlecht planen, wenn selbst die eigenen Kunden sich verspekulieren. Da beisst TSMC wahrscheinlich der eigene Erfolg mit 7nm direkt wieder in den Fuß.

HOT
2019-04-19, 11:09:16
Wir wollen mal nicht vergessen, dass TSMC einen gigantischen Produktionsausfall hatte, der echt viel gekostet hat. Da mag es sein, dass die Umsätze zusammenbrechen.

smalM
2019-04-19, 11:17:46
@Unicous
20nm wurde in 16nm wiederverwertet, 10nm in 7nm. TSMC ist nicht mit Dusel die erfolgreichste Foundry der Welt geworden.
Und daß Apple die Masse seiner SoCs im neuesten Node herstellen läßt, ist für TSMC ganz gewiß auch nicht gerade eine neue, überraschende Erkenntnis.
Was glaubst Du wohl, wieso die Node-Entwicklung so in viele kleine Schritte aufgebrochen wurde, daß jedes Jahr pünktlich zum Bedarf von Apple ein neuer Node bereit steht?

Die Mischkalkulation besteht übrigens darin, daß man, nachdem Apples Bedarf gedeckt wurde, Kapazität für B- und C-Kunden zur Verfügung stellen kann, deren Bedarf alleine die Entwicklung des neuen Nodes gar nicht getragen hätte.

@HOT
Der Vorfall hat beim Umsatz nur wenig Auswirkung gezeigt, etwas mehr war's beim Gewinn. Die Rückgänge wären also auch ohne den Vorfall deutlich ausgefallen.

Schade, daß TSMC im Quartalsbericht nicht nach Weltregionen unterschieden hat, man hätte sehen können, wieviel die Konjunkturdelle in China ausgemacht hat oder wie stark der Rückgang mit Kunden aus Kontinental-Amerika war. Und schade, daß sie die Aufteilung nach Anwendungsgebiet nicht schon früher eingeführt haben, so fehlt halt der Vergleich mit dem Vorjahresquartal.

Unicous
2019-04-19, 15:57:27
Was bitte wurde bei 7nm wiederverwertet?:confused:

Bei 16nm wurde die gesamte BEOL von 20nm genutzt, das ist bekannt. Was wurde denn bei 7nm wiederverwertet, das ist eine ganze neue Information für mich und ich höre das zum ersten Mal.

Du kannst gerne mal die vielen B-und C-Kunden hier auflisten, QC und Mediatek habe ich ja schon genannt.

TSMC hat auch irgendwann angefangen 20nm mit 16nm zusammenzufassen und dann haben sie es wieder aufgesplittet. Transparenz ist anders.

smalM
2019-04-20, 13:29:38
"From node to node, we have about 90% of the common tool or bigger than 90% of the percentage of the common tool being used for the next node" - C.C Wei
Da wir über Produktion reden und nicht über Prozeßtechnik, meinte ich die Produktionsanlagen.
Sorry, da habe ich mich nicht exakt genug ausgedrückt.

TSMC nennt keine Kundennamen.
Aber vielleicht das für Dich:
"And the 7-nanometer tapeout number still on track to exceed 100 by the end of this year?"
"It's still."
"Or it could be earlier?"
" Well, it will depend on how quickly that our customer can adopt our N7, N7+. But still, the numbers still meet our expectations on the HPC probably even more higher, yes."
Antworten - C.C Wei, Jan. 2019

Zossel
2019-04-24, 13:01:20
Samsung wirft weiter Kohle auf seine Fabs: https://www.golem.de/news/halbleiterfertigung-samsung-investiert-116-milliarden-us-dollar-1904-140845.html

Mal schauen wie lange es es dauert bis der MAGA-Typ mit der explodierten Frisur anfängt sich darüber aufzuregen.

prinz_valium
2019-04-24, 13:51:46
Samsung wirft weiter Kohle auf seine Fabs: https://www.golem.de/news/halbleiterfertigung-samsung-investiert-116-milliarden-us-dollar-1904-140845.html

Mal schauen wie lange es es dauert bis der MAGA-Typ mit der explodierten Frisur anfängt sich darüber aufzuregen.

Wieso sollte er? Ein Teil davon wird in den USA investiert.
Geht ja um logische chips und da ist jetzt schon eine 14nm Fab in Austin Texas.

smalM
2019-04-30, 21:52:36
SemiWiki hat Infos vom TSMC Technology Symposium
Teil 1 (https://www.semiwiki.com/forum/content/8149-2019-tsmc-technology-symposium-review-part-i.html)
Teil 2 (https://www.semiwiki.com/forum/content/8150-tsmc-technology-symposium-review-part-ii.html)

Scotten Jones: TSMC and Samsung 5nm Comparison (https://www.semiwiki.com/forum/content/8157-tsmc-samsung-5nm-comparison.html)

dildo4u
2019-05-14, 12:00:09
Apple A13 7nm+ EUV in Produktion bei TSMC.


https://www.golem.de/news/n7-mit-euv-tsmc-startet-fertigung-von-apples-a13-chip-1905-141186.html

HOT
2019-05-14, 12:04:55
HiSilicon (Huawei) ist ja AFAIK schon zugange mit dem Kirin 985.

Zossel
2019-05-15, 06:35:24
Samsung kündigt GAA für 2021 an: https://www.computerbase.de/2019-05/3-nm-gate-all-around-samsung-chip/

Tarkin
2019-05-15, 07:47:07
zum Vergleich (Quelle :Semiwiki (https://www.semiwiki.com/forum/content/7544-7nm-5nm-3nm-logic-current-projected-processes.html))

Artikel von Juni 2018 (gibts da vl. schon aktuellere Infos?)

SS 3nm GAA ist ca. vergleichbar mit 7nm Intel (wobei... ma was es ned wie der im Endeffekt wirklich wird... und vor allem WANN ;)

https://www.semiwiki.com/forum/attachments/content/attachments/21824d1529099818-slide4.jpg

https://www.semiwiki.com/forum/attachments/content/attachments/21825d1529099842-slide5.jpg

https://www.semiwiki.com/forum/attachments/content/attachments/21826d1529099950-slide6.jpg

smalM
2019-05-15, 11:44:33
Artikel von Juni 2018 (gibts da vl. schon aktuellere Infos?)
Die 7nm-Angaben für Intel sind jedenfalls noch die alten mit dem Dichtefaktor 2,4 gegenüber 10nm. Das hat Intel ja ganz offiziell auf 2,0 zurückgenommen. Einen aktualisierten Vergleich inklusive Intels 7nm kenne ich nicht, ja nicht einmal ein Revision für Intels 10nm, wohl weil unbekannt ist, was sie alles ändern mußten, um den zum Laufen zu bringen (so haben sie gerüchterweise COAG gestrichen, was alleine schon ca. 10% Transistordichte kosten würde).

Nuvirus
2019-05-16, 20:02:08
Das Problem ist wie du schon schreibst die geringe Adoption bei der Kundschaft - es war als Großkunde quasi nur Apple. Und selbst Apple wusste nicht, oder hat zumindest nicht damit gerechnet, dass deren iPhones die mit dem 10nm Chip bestückt waren (iPhone X meine ich) sich erstens richtig schlecht verkauft haben und zweitens die kürzeste Lebenszeit als Topmodell von Apple haben werden. Sowas kann man schlecht planen, wenn selbst die eigenen Kunden sich verspekulieren. Da beisst TSMC wahrscheinlich der eigene Erfolg mit 7nm direkt wieder in den Fuß.

Also klar das Iphone X interessiert jetzt keinen mehr, aber viele Stückzahlen werden wohl auch weiterhin mit der selben A11 CPU im Iphone 8 gemacht bzw. vll auch iPhone 8 Plus da eines der wenigen guten kompakten Handys noch bzw. vielen der Preis einfach zu hoch ist aber es war wohl trotzdem weniger als erwartet nehme ich an aber am Anfang dürfte wohl trotzdem voll produziert worden sein und dann kamen ja die anderen nach.


Wenn sich Samsung und TSMC streiten wirkt sich das hoffentlich auch bisschen auf die Preise aus vll fängt ja AMD an zumindest bestimmte Produkte auch bei Samsung zu fertigen.
Dann könnte man vll auch bessere Preise erreichen bei TSMC auf Dauer wenn man schon mal mit Samsung auch gearbeitet hat und den Fuß in der Tür hat.

Zossel
2019-05-16, 21:44:20
Was es so alles gibt:
Denn um 5G-Basisstationen auszurüsten, benötigt man sogenannte Hochfrequenzchips, gefertigt aus Siliziumkarbid. Den Weltmarkt beherrschen im Wesentlichen drei Firmen: Wolfspeed und Qorvo aus den USA sowie der niederländische Konzern NXP, der an der New Yorker Börse gelistet ist und sich auch dem Dekret unterwerfen muss.

-> https://www.sueddeutsche.de/wirtschaft/handelsstreit-china-usa-trump-1.4449256

Hier was zu dem Material bzw. Technik: https://www.all-electronics.de/siliziumkarbid-halbleiter-auf-der-ueberholspur/

M4xw0lf
2019-05-16, 22:04:46
Was es so alles gibt:


-> https://www.sueddeutsche.de/wirtschaft/handelsstreit-china-usa-trump-1.4449256

Hier was zu dem Material bzw. Technik: https://www.all-electronics.de/siliziumkarbid-halbleiter-auf-der-ueberholspur/

Gibt sogar eine Firma in Nürnberg, die SiC wafer herstellt.

Zossel
2019-05-16, 22:33:19
Gibt sogar eine Firma in Nürnberg, die SiC wafer herstellt.

Die Koordinaten von der Firma sind bestimmt schon im Pentagon gespeichert :-)

Iterator
2019-05-25, 23:52:24
Interessanter Artikel (https://www.welt.de/wirtschaft/article193823903/Maschinenbau-Der-groesste-Technologiesprung-in-der-Chipindustrie.html?utm_source=pocket-newtab) über Technik und Aufwand zur EUV.

smalM
2019-06-09, 17:16:32
Samsung Foundry Update 2019 (https://semiwiki.com/semiconductor/259664-samsung-foundry-update-2019/) auf Semiwiki

smalM
2019-06-11, 07:16:50
AMD Navi 10 offiziell vorgestellt:
10,3 Mrd. Transistoren, 251 mm² und damit 41 MT/mm²; nur einen Hauch mehr als bei Vega 20.