Anmelden

Archiv verlassen und diese Seite im Standarddesign anzeigen : Chipfertigung: 10 FF, EUV, 7 nm, 5 nm, 3 nm, GAF, ...


Seiten : 1 2 3 4 5 [6] 7 8 9 10 11 12 13 14 15 16 17

N0Thing
2020-02-04, 01:09:53
Ja, der Artikel war wirklich sehr lesenswert. :smile:https://www.forum-3dcenter.org/vbulletin/images/icons/icon14.gif

smalM
2020-02-07, 20:36:26
SemiWiki.com
TSMC Unveils Details of 5nm CMOS Production Technology Platform (https://semiwiki.com/semiconductor-manufacturers/tsmc/282339-tsmc-unveils-details-of-5nm-cmos-production-technology-platform-featuring-euv-and-high-mobility-channel-finfets-at-iedm2019/)

davidzo
2020-02-07, 23:54:23
BTW, hatten wir das schon? 5nm High NA EUV verringert die maximale Chipgröße auf die Hälfte? Momentan sind das Maximum 858 mm² sowohl mit den gängigen immersions-scannern als auch mit EUV.

Momentan sind das Maximum 858 mm² sowohl mit den gängigen immersions-scannern als auch mit EUV.

Ab 5/3nm sind es wohl nur noch maximal 429mm2.

The high-NA system tackles the problem, but there are some tradeoffs. In today’s 0.33 NA tool, the lens supports 4X magnification with a maximum exposure field size of 26mm x 33mm.

In high-NA, though, the anamorphic lens supports 8X magnification in the scan mode and 4X in the other direction. Increasing the image magnification from 4X to 8X boosts the resolutions and reduces the shadowing effects.

But increasing the magnification also cuts the image field size to one half. So the scanner may end up printing the features on only part of a device. This mainly involves larger die sizes.

https://semiengineering.com/multi-patterning-euv-vs-high-na-euv/


Weiß jemand ob TSMC ab 6nm oder 5nm schon double oder multi patterning benutzt? High-NA Scanner werden ja noch eine weile nicht verfügbar sein, insofern muss man spätestens für 5nm eigentlich schon double patterning verwenden. Wenn ja, würde das die Chips erheblich teurer machen.

“I don’t think double patterning makes sense from an economic point of view,” HJL’s Levinson said. “In optical lithography, to make everything work, you have these self-aligned schemes. That’s okay in optical lithography. An optical exposure is one-third the cost of an EUV exposure. It’s a much bigger problem to add an extra EUV exposure than an extra optical one.”

double patterning in aktuellen EUV Scannern entspricht also kostentechnisch 6-fach multi patterning in immersionslitographie.

Klingt für mich so, als wenn der Industrie eine längere Durststrecke bevorsteht, denn viele hersteller werden bis 2023 bei 6/7nm EUV bleiben. 5nm mit double patterning wird für die meisten Chips zu teuer sein, stattdessen wartet man einfach auf die High-NA Tools...

basix
2020-02-08, 09:19:35
Soweit ich weiss, wird die ganze Industrie auf HiNA setzen. ASML hat sich da mit den Kunden mehr oder minder geeinigt. EUV Multi-Patterning ist einfach zu teuer. Bei 5nm kommt noch Single Patterning zum Einsatz (32nm min. Strukturgrösse vs. 28nm min. möglich mit EUV Single Patterning).

Aber ja, HiNA halbiert die Reticle Grösse. Vermutlich wird das dann auch dem Chiplet-Ansatz zum endgültigen Durchbruch verhelfen.

smalM
2020-02-08, 13:36:17
SUV SADP Limit ist 40nm, EUV SE Limit ist 36nm für 2D und 26nm für 1D.

Alle N7-Prozesse haben einen Fin Pitch von 30nm und einen M2 Pitch von 40nm.
N5 soll einen Fin Pitch von 22nm und einen M2 Pitch von 30nm haben.
N3 soll einen M2 Pitch von 26nm haben, zu Fin Pitch habe ich noch keine Angabe gesehen.

@basix
Ich nehme mal an, daß in N5 HD 55~60Mrd.T auf's 429mm² Die passen, das sollte für SoCs ja wohl ausreichen. Auch mit den 30~35Mrd.T in N5 HP wird man schon ganz schön weit kommen.
Ich denke also, es sind eher die Kosten, die den Chiplet-Ansatz treiben werden, als die technischen Grenzen.

HOT
2020-02-08, 14:05:08
Für Grafikchips könnte das viel eher zum Problem werden. Aber auch hier bahnt sich Chiplets + Interposer ja an, ab 5nm wirds dann auch nicht mehr anders gehen.
Außerdem wird das ja auch Intel treffen, wenn die mit EUV endlich mal anfangen. Dann ists vorbei mit riesigen mononlithischen Serverdies.

Zossel
2020-02-12, 19:49:05
Invensas’ DBI Ultra is a proprietary die-to-wafer hybrid bonding interconnect technology that supports from 100,000 to 1,000,000 interconnects per mm2, using interconnect pitches as small as 1 µm (https://www.anandtech.com/show/15498/sk-hynix-licenses-dbi-ultra-interconnect-for-nextgen-3ds-hbm-dram)

Zossel
2020-02-18, 12:13:10
Donald dreht mal wieder komplett frei: https://www.golem.de/news/tsmc-usa-will-taiwanischer-firma-produktion-fuer-huawei-verbieten-2002-146692.html

In einem neuen verzweifelten Versuch wollen die USA dem Auftragshersteller TSMC vorschreiben, nicht mehr für Huawei zu produzieren. Das Ziel sei, dass weltweit kein Auftragshersteller mehr für Huawei arbeitet.

gravitationsfeld
2020-02-18, 16:57:31
ASML ist niederländisch und die Belichtungsmaschinnen sind mit Abstand der schwierigste Teil der Fertigung. Den Rest kann man ziemlich sicher auch außerhalb der USA beziehen.

Dieses Verhalten führt nur dazu, dass sich der Rest der Welt andere Zulieferer sucht.

Zossel
2020-02-18, 17:14:22
ASML ist niederländisch und die Belichtungsmaschinnen sind mit Abstand der schwierigste Teil der Fertigung. Den Rest kann man ziemlich sicher auch außerhalb der USA beziehen.
Und ASML hat keine Zulieferer aus den USA und wickelt Geschäfte in Dollar ab wo das Clearing in den USA läuft?
Dieses Verhalten führt nur dazu, dass sich der Rest der Welt andere Zulieferer sucht.
Das wird die mittelfristig bis langfristige Konsequenz sein, aber dann ist Donald nicht mehr King.

gravitationsfeld
2020-02-18, 17:36:38
Und ASML hat keine Zulieferer aus den USA und wickelt Geschäfte in Dollar ab wo das Clearing in den USA läuft?
Wenn die USA so weit gehen will dass sie EU-Firmen Lieferungen versagt, dann koennen sie auch einfach jeglichen Handel komplett einstellen.

HOT
2020-02-18, 17:42:18
TSMC hat die absolute Fertigungsmacht, da können die USA überhaupt gar nichts machen. Und der Laden gehört Chinesen. Außer Intel ist kein Fertiger mehrheitlich in US-Hand. TSMC gehört Chinesen, Samsung Koreanern, GloFo Abu Dhabi.

Und falls das aufkommen sollte aus Unwissenheit, es gibt keine Taiwaner, sonder nur Chinesen. Es gibt vielleicht ein paar formosische Einheimische, die nicht von Festlandchina rübergeschwabbt sind nach dem großen Bürgerkrieg in den 40ern, das ist aber irrelevant. Taiwan ist China, Festlandchina ist genauso China. Taiwan ist nur der letzte Rückzugspunkt der Nationalisten und Chiang Kai Shek gewesen, die von den Amerkanern beschützt wurden. Das ist aber alles 75 Jahre her. Das Verhältnis ist heute ein völlig anderes als damals.

Zossel
2020-02-18, 18:41:29
Und falls das aufkommen sollte aus Unwissenheit, es gibt keine Taiwaner, sonder nur Chinesen. Es gibt vielleicht ein paar formosische Einheimische, die nicht von Festlandchina rübergeschwabbt sind nach dem großen Bürgerkrieg in den 40ern, das ist aber irrelevant. Taiwan ist China, Festlandchina ist genauso China. Taiwan ist nur der letzte Rückzugspunkt der Nationalisten und Chiang Kai Shek gewesen, die von den Amerkanern beschützt wurden. Das ist aber alles 75 Jahre her. Das Verhältnis ist heute ein völlig anderes als damals.

IMHO haben die Chinesen mit taiwanischen Pass nicht besonders viel Bock auf die festlandchinesiche KP.

Eazy
2020-02-18, 19:22:02
Ach ja, und Nord- und Südkoreaner gibt es auch nicht. Sind ganz dieselben, auch von den Werten und Normen. So wird es sein...

HOT
2020-02-18, 19:39:09
Gibts im Grunde auch genauso wenig wie Ostdeutsche. Das ist ja keine eigene Bevölkerungsgruppe oder sowas.
Natürlich gibts da politische Probleme, das bestreitet ja keiner.

Zossel
Jo ist auch so.

gravitationsfeld
2020-02-18, 23:01:03
Und der Himmel ist rot.

davidzo
2020-02-18, 23:40:48
Donald dreht mal wieder komplett frei: https://www.golem.de/news/tsmc-usa-will-taiwanischer-firma-produktion-fuer-huawei-verbieten-2002-146692.html


Lol, hat ihm schonmal jemand erklärt dass das keine US Firma ist, sondern eine taiwanische? Was wollen die denn machen wenn TSMC einfach weiter liefert?
Strafzölle auf alle TSMC Produkte? Also für Apple, AMD, Nvidia, TI ;D ?

Das sind doch alles amerikanische Firmen. Wenn man TSMC mit Zöllen bestrafen möchte, schneidet man sich ins eigene Fleisch, am Ende zahlen es mal wieder die Amerikaner selbst. Ganz ohne TSMC kann keiner.

Das ist das dümmste was ich je gehört habe :rolleyes:
Wahrscheinlich hat ihm niemand erzählt dass das eine taiwanesische Firma ist und Chipfertigung in den USA praktisch vorbei ist. Drumpf geht sicher davon aus dass so großartige technologien wohl aus den Staaten kommen müssen und niemand im weißen Haus hatte die Eier dazu ihm die Wahrheit zu erzählen. :freak:

Für die letzten verbliebenen US-Supplierfirmen ist das der Sargnagel. Die im Artikel genannten Applied Materials und Lam Research sind doch damit praktisch sofort out of business, wenn es andere, sicherere und womöglich schon lange auch günstigere Konkurrenzprodukte gibt.

Gegen ASML gibts auch so gut wie kein Druckmaterial, denn die Patente gehören nunmal den Niederländern seit die EUV-Entwicklung bei Cymer 2012 gescheitert ist und die alles aufgekauft haben, den Rest halten die Japaner, wobei Nikon schon längst abgehängt ist.

Unicous
2020-02-19, 00:16:01
Er ist zwar strohdoof aber seine Puppenspieler haben ihn schon richtig instruiert. Keine Ahnung ob er jetzt weiß, dass Apple dort Chips fertigen lässt oder nicht (was ihn als Apple-Fan/-Hater bestimmt kurze Zeit betrüben würde), das ist auch gar nicht so wichtig. Die Typen denken weiterhin, dass eine Drohkulisse aufzubauen und gleichzeitig Zuckerwürfel vor die Füße zu werfen (baut eine Fabrik bei uns, vllt. machen wir dafür sogar Schmi... Investitionen frei) zu den entsprechenden Ergebnissen führt.

Das ist buchstäblich die Geschäftspraxis die Trump jahrelang gefahren ist, wenn er nicht gerade seine Bücher frisiert hat um seine Immobilien je nachdem schön (für Investoren) oder schlecht (fürs Finanzamt) zu rechnen.:wink:

Seine Berater sind allesamt nicht besonders schlau, aber sie sind vor allem eins: skrupellos und dazu bereit einen ganzen Industriesektor einbrechen zu lassen um auch nur irgendeinen Erfolg für die Wählerschaft ultrareichen Spender vorzuweisen. Das kann man sehr gut am Agrarsektor nachvollziehen. Die kleinen Sojabohnen-, Fleisch-, Reis-, Mais-Farmer gehen gerade vor die Hunde weil Trump und seine Hintermänner China mit Tarifen in die Knie zwingen wollte und das eher schlecht als recht geklappt hat (die Großbauern haben wohl auch etwas zu knabbern aber auch die Chance bankrotte Höfe aufzukaufen). Dafür hat Trump 30 Milliarden Dollar locker gemacht, was nicht mal ansatzweise die entgangenen China-Exporte Ernteausfälle im letzten Jahr wettmachen. Im Vergleich hatte Obama insgesamt 12 Milliarden für die Atutoindustrie lockergemacht. Wahrscheinlich werden die 30 Milliarden auch noch nicht das Ende der "Subvention" sein.

Trump und Konsorten sind so extrem kurzsichtig und darauf aus schnelle Erfolge durch Druck und Bedrohungen zu erreichen, dass sie nicht checken, dass China nicht so leicht klein bei gibt und auch die EU sich nicht einschüchtern lassen. Sie denken, dass sie 3D-Blitzschach spielen und gewinnen, dabei spielen sie gegen sich selbst und verlieren immer und immer wieder. Das Dumme dabei ist, es gibt keine Gewinner. Solange sie verlieren, verlieren wir auch. Wenn das so weitergeht und Trump wiedergewählt wird, was nicht so unwahrscheinlich ist wie manch einer denkt, werden wir auf Kurz oder Lang auf eine große Wirtschaftskrise zusteuern.

spotz
2020-02-19, 14:00:21
Trump kann auf TSMC aber politischen Druck über die taiwanesische Regierung ausüben. Schließlich geben die USA Taiwan eine Sicherheitsgarantie vor China. Sie sind auch so ziemlich die Einzigen die noch Rüstungsgüter an Taiwan liefern. Wenn US Diplomaten mit dem Hintergrund an der Tür der taiwanesischen Regierung anklopfen, wird man dort in der Sache Huawei und TSMC sicher zuhören und die Bitten und Drohungen der US Diplomaten ernst nehmen.

Zossel
2020-02-19, 18:20:01
Trump und Konsorten sind so extrem kurzsichtig und darauf aus schnelle Erfolge durch Druck und Bedrohungen zu erreichen

Alles nichts neues: (Damals waren die Japaner die Bösen)

https://taz.de/Trumps-Wirtschaftspolitik/!5380060/
https://genius.com/Gil-scott-heron-b-movie-lyrics
https://www.youtube.com/watch?v=xSOp507HJMA

Sunrise
2020-02-20, 00:27:35
...Wenn das so weitergeht und Trump wiedergewählt wird, was nicht so unwahrscheinlich ist wie manch einer denkt, werden wir auf Kurz oder Lang auf eine große Wirtschaftskrise zusteuern.
Wer bitte denkt denn, dass das unwahrscheinlich ist? Im Grunde können sie die Wahlen auch komplett sein lassen, es gibt keine Alternativen die in die aktuelle Zeit (Einschüchterung, Drohungen, Angst, Terror) besser passen als Trump. Und die Vorlagen hierzu haben sie ja auch schon immer selbst gegeben.

Es wäre schön, man könnte einen Schalter umlegen, aber wer so medial angeheizt wird, wie die US-Bevölkerung, der wählt eben den, der Dinge nicht nur sagt, sondern eben auch tut, auch wenn es (wenn Hirn in der Masse vorhanden wäre) einfach nur dazu dient, zu zeigen, was das wahre Gesicht der USA ist, Macht um fast jeden Preis.

Setsul
2020-02-21, 13:32:14
@spotz:
China von TSMC abzuschneiden erhöht aber gleichzeitig den Anreiz für China entweder noch mehr Industriespionage zu betreiben oder Taiwan möglichst bald doch zu integrieren. Dank "America First" ist anzuweifeln ob die USA irgendwelche Anstrengungen unternehmen würden um Taiwan zu helfen.
Sich selbst wirtschaftlich ins Knie zu schießen um sich mit einer Nation gutzustellen deren erklärtes Ziel es momentan ist alle anderen auszunutzen ergibt keinen Sinn. Trump ist nicht Präsident auf Lebenszeit, aber wenn Huawei ihr gesamtes Auftragsvolumen nach China und eventuell ein bisschen zu Samsung verlagern müssen dann bleibt das auch dort. Selbst 4 Jahre gar keine Rüstungsexporte führen zu mehr Gejammer von Seiten der amerikanischen Firmen deren Umsatz einbricht als von Taiwan.

@Sunrise:
Man darf nicht vergessen dass Trump sehr knapp gewonnen hat. Deshalb hat ja fast niemand den Sieg vorrausgesagt weil 50-70k Stimmen insgesamt in ein paar Staaten den Unterschied gemacht haben. Er hat zwar laut Umfragen ungewöhnlich wenige Wähler verloren, aber praktisch keine dazugewonnen. Im wesentlichen wenn der demokratischen Kandidat so abschneidet wie Hillary Clinton würde Trump momentan verlieren. Allerdings darf man das Potential der Demokraten zur Selbstsabotage nicht unterschätzen. Ich meine die haben im wesentlichen eine Vorwahl gegen sich selbst verloren und den gesamten Schwung den der stärkste Kandidat dadurch normalerweise bekommt im Keim erstickt.
Also ich würde sagen solide Ausgangsposition für Trump, aber kaum Luft nach oben. Definitiv schlagbar, aber die Demokraten zeigen einfach momentan ungewöhnliches Talent ihre Position(en) selbst und gegenseitig zu untergraben.

@topic:
Damit TSMC 10% ihres Umsatzes aufgeben und offen zugeben dass der Präsident der USA jederzeit per Dekret einen Lieferstopp veranlassen kann, müssten die USA schon irgendein enormes Druckmittel finden. Das würde sie auf Jahre wenn nicht Jahrzehnte verfolgen und einige Milliarden kosten.

Zossel
2020-02-21, 19:18:36
https://www.heise.de/newsticker/meldung/Samsung-beginnt-7-nm-Produktion-in-5-6-Milliarden-Euro-teurer-Fab-4665814.html

In der V1-Fab kommen Belichtungsmaschinen mit extrem-ultraviolettem Spektrum (EUV) zum Einsatz – Prozesse mit rein klassischer Lithographie (14, 10 nm) laufen dort nicht.

Will Samsung alle Layer mit EUV belichten oder werden die oberen Layer in einer anderen Fab belichtet?

Platos
2020-02-21, 19:26:28
Da steht, dass man in dieser Fab nicht einen Prozess ausschliesslich mit DUV belichtet. Heisst ja nicht, dass man dann alle Layer mit EUV belichtet, nur eben nicht nur DUV.

y33H@
2020-02-22, 02:18:31
Samsung spricht nur von einer einstelligen Nummer an EUV-Layern für 7LPP, keine konkrete Zahl.

smalM
2020-02-23, 11:36:40
Laut Scotten Jones:
Samsung 7LPP - 7 Mask Layers EUV, 58 total
zum Vergleich
TSMC N7 - 78 Mask Layers
TSMC N7+ - 5 Mask Layers EUV, 63 total

y33H@
2020-02-23, 12:22:40
Bei N7+ sind es 5 laut TSMC und Scotten schreibt "I believe" :D

https://semiwiki.com/semiconductor-manufacturers/intel/280519-iedm-2019-tsmc-5nm-process/

BoMbY
2020-02-28, 20:36:53
Bin gerade über das hier gestolpert: Physicists may have accidentally discovered a new state of matter. The possibilities are endless. (https://news.northeastern.edu/2020/02/26/physicists-may-have-accidentally-discovered-a-new-state-of-matter-the-possibilities-are-endless/#_ga=2.192194921.2126096383.1582910361-1597102516.1582910361)

Ob man damit wohl rein aus Elektronen bestehende Transistoren hinbekommen könnte?

Zossel
2020-03-04, 06:00:32
Multi-Chip-Prozessoren: TSMC kombiniert Chips auf 1700 mm² riesigem Interposer (https://www.heise.de/newsticker/meldung/Multi-Chip-Prozessoren-TSMC-kombiniert-Chips-auf-1700-mm2-riesigem-Interposer-4674057.html)

HOT
2020-03-04, 09:15:45
https://www.computerbase.de/2020-03/fertigungstechnik-intel-5-nm/
Da musst aber über mein Brötchen prusten ;D.
Was rauchen die bei Intel eigentlich?

amdfanuwe
2020-03-04, 10:41:01
Amis halt. Alles OK und gute Aussichten. Da wird keiner zugeben, dass Scheiße gebaut wurde.

Lehdro
2020-03-04, 12:04:00
Da wird keiner zugeben, dass Scheiße gebaut wurde.
Genau dieses Geständnis gab es aber jetzt bezüglich 10nm. :redface:

Aber bei "Führung mit 5nm" bin ich ja gespannt.
Bei TSMC scheint deren 5nm schon jetzt recht gut zu laufen, während Intel noch nicht einmal 10nm richtig im Griff hat und auch noch öffentlich zugeben muss das es wohl nie wirklich besser wird. Ende 2021 plant man dann "schon" mit 7nm bei Intel, während TSMC 3nm "initial volume production" mit Q3/2021 angibt und für 2022 dann richtig durchstarten will.

Klingt ein bisschen nach "überholen ohne einzuholen" - der Zeitplan wird äußerst straff sein müssen wenn man mit Intels 5nm TSMCs 3nm zuvorkommen will...
Wenn man hingegen nur den "besseren" Prozess innerhalb der Node haben will, was durchaus realistisch ist wenn man von dem 14nm Prozess ausgeht, so kann das klappen - allerdings nur mit deutlichem zeitlichem Verzug bei der Verfügbarkeit.

HOT
2020-03-04, 18:54:40
Ach das glaubt doch nicht ernsthaft jemand, dass da irgendwas vor 2025 mit 5nm läuft oder? So ein Unsinn.
Die schaffen in 22 erste 7nm-Produkte (wahrscheinlich mobil), in 23 dann Prozessoren damit. Oder sie stoßen in der zwischenzeit ihre Fabs ab.

Langlay
2020-03-04, 19:03:16
Oder sie stoßen in der zwischenzeit ihre Fabs ab.

Und machen den Laden dann zu oder was. Intel braucht eine riesige Anzahl an Wafern.

AMD will in H2 2020 schon 21% aller 7nm Wafer von TSMC. Intel bräuchte mehr Wafer als TSMC überhaupt fertigt in 7nm. Und in 3 Jahren dann 5nm.

https://wccftech.com/amd-7nm-wafer-production-set-to-double-in-2h-2020-7nm-capacity-at-tsmc-currently-fully-booked/

Zossel
2020-03-04, 19:52:36
Und machen den Laden dann zu oder was. Intel braucht eine riesige Anzahl an Wafern.

AMD will in H2 2020 schon 21% aller 7nm Wafer von TSMC. Intel bräuchte mehr Wafer als TSMC überhaupt fertigt in 7nm. Und in 3 Jahren dann 5nm.

Also wenn ich mal ganz grob den Marktanteil (https://www.heise.de/newsticker/meldung/Prozessor-Marktanteile-AMD-waechst-weiter-4654474.html) mit dem Waferausstoß gleich setze, dann könnte TSMC Intel ersetzen. (Und die Consolenchips werden höchstwahrscheinlich von TSMC kommen)

Zwischen Intel und TSMC liegen keine Lichtjahre.

Langlay
2020-03-04, 21:20:04
Also wenn ich mal ganz grob den Marktanteil (https://www.heise.de/newsticker/meldung/Prozessor-Marktanteile-AMD-waechst-weiter-4654474.html) mit dem Waferausstoß gleich setze, dann könnte TSMC Intel ersetzen. (Und die Consolenchips werden höchstwahrscheinlich von TSMC kommen)

Zwischen Intel und TSMC liegen keine Lichtjahre.


AMDs Marktanteil sind 15% und buchen wohl 13% der 7nm Wafer. Allerdings sei auch angemerkt das in der CPU Abteilung AMD auch bessere Yields hat weil das 7nm Chiplet doch eher winzig ist und man damit von Desktop bis Server alles abdeckt. Intel bräuchte unterschiedlich grosse Dies mit sinkten Yield je grösser die Teile werden. Also könnten wir wohl davon ausgehen das im besten Fall wenn TSMC nur für Intel fertig und Apple, Qualcomm, AMD und co leer ausgehen lässt. TSMC mit biegen und brechen das herstellen kann was Intel aktuell produziert, was allerdings auch weniger ist als der Markt verlangt. (Stichwort Lieferengpasse bei Intel).

Davonab ist es imo illusorisch das TSMC auf ihren aktuellen Note nur einen exklusiven Kunden hat. Da würde man wohl tief in die Tasche greifen müssen und wäre am Ende genausobescheiden dran wie jetzt auch.

HOT
2020-03-04, 23:49:10
So wird das ja auch nicht laufen. Man verkauft Fabs und lässt natürlich weiterproduzieren, bevor man nach und nach die Verhältnisse neu ordnet. Das ist ein Prozess, der würde Jahre dauern. Ist ja auch nur ein Gedankenexperiment, nicht wirklich realistisch.

HOT
2020-03-11, 09:47:46
Serienproduktion von N5 beginnt doch schon im April:
https://www.computerbase.de/2020-03/produktionsstart-tsmc-5-nm-chip-apple-huawei/

zudem kann Huawei jetzt seine 5nm-Pläne auch weiter umsetzen:
https://www.computerbase.de/2020-03/huawei-ausnahmegenehmigung-mai-2020

(Da werden wohl Käufer der sehr günstigen Smartphones ohne Google-Bindung belohnt, denn die werden jetzt auch zertifiziert werden)

davidzo
2020-03-14, 09:57:02
Genau dieses Geständnis gab es aber jetzt bezüglich 10nm. :redface:

Aber bei "Führung mit 5nm" bin ich ja gespannt.
Bei TSMC scheint deren 5nm schon jetzt recht gut zu laufen, während Intel noch nicht einmal 10nm richtig im Griff hat und auch noch öffentlich zugeben muss das es wohl nie wirklich besser wird. Ende 2021 plant man dann "schon" mit 7nm bei Intel, während TSMC 3nm "initial volume production" mit Q3/2021 angibt und für 2022 dann richtig durchstarten will.

Klingt ein bisschen nach "überholen ohne einzuholen" - der Zeitplan wird äußerst straff sein müssen wenn man mit Intels 5nm TSMCs 3nm zuvorkommen will...
Wenn man hingegen nur den "besseren" Prozess innerhalb der Node haben will, was durchaus realistisch ist wenn man von dem 14nm Prozess ausgeht, so kann das klappen - allerdings nur mit deutlichem zeitlichem Verzug bei der Verfügbarkeit.

Genau, zeitlich passt intels 5nm zum 3nm von allen anderen. Ich kann mir beim besten willen nicht vorstellen dass Intels 5nm gegenüber anderen cutting edge high-NA 3nm Prozessen der beste Prozess sein soll.

Es ist ja nun so das Intel gerade erst anfängt sich ein paar mehr EUV Scanner hin zu stellen als man an einer Hand abzählen kann. Gegenüber TSMC und Samsung die in den vergangenen Jahren massiv investiert haben ist das eine wahnsinnige Verspätung. Intels foundry ist halt extrem kostengetrieben und bei den bisherigen nxe3350 und 3400a-b geräte haben sie zuviel ausfallzeiten und zu wenig waferdurchsatz pro stunde gesehen. Witzig, das ausgerechnet die ehemals größte foundry der welt mit den ehemals führenden Prozessen so extreme Pfennigfuchser sind.
Damit bekommen sie zwar jetzt mit dem nxe3400c eine zuverlässigere und leistungsfähigere Maschine, aber die Lichtquelle und Optik ist eben immer noch dieselbe.

TSMC und Samsung werden ihre EUV Scanner für 7nm und 5nm nutzen und für 3nm schon die ersten High-NA (0.33) Geräte benutzen. Intel wird wohl oder übel erstmal bei 0.55-NA bleiben, man schafft sich ja nicht nach nur einem kleinen 7nm Prozess schon wieder neue Scanner an. Zumal der Overlap von 7 auf 5nm auch steigen soll, das geht nur wenn man dieselben Geräte benutzt...

Mit einem 5nm Prozess am Rande des technisch möglichen für die Scanner zu operieren und damit gegen einen 3nm Prozess zu konkurrieren der auch noch technisch viel höher auflösende Scanner benutzt, das klingt nicht realistisch.

Insofern kann sich Intel eigentlich nur auf 5nm vs 5nm beziehen, dass man da führend ist, was man sicher mit ein bisschen secret sauce auch noch sicherstellen kann.

JVC
2020-03-23, 10:58:42
https://www.computerbase.de/2020-03/fertigung-5-nm-tsmc/
"5-nm-Prozess von TSMC skaliert zu 184 Prozent"

Das wird fein für Zen4 :)

M.f.G. JVC

spotz
2020-03-24, 09:35:57
Kommt TSMCs 6nm Fertigung für AMDs van Gogh APU zeitlich mit der möglichen Massenproduktion in Frage oder kommt die zu spät, wenn die APU im Frühjahr 2021 verfügbar sein soll?

HOT
2020-03-24, 09:48:24
Wäre möglich. Dafür müsste van Gogh aber erst im 2.HJ 2021 erscheinen.

Zossel
2020-03-25, 11:42:46
Samsung ist an EUV für DRAM dran. (https://www.computerbase.de/2020-03/ddr4-ddr5-samsung-dram-euv-1a/)

Wörns
2020-03-25, 12:36:02
The Register schreibt das auch:
Samsung says it has the future of DRAM sorted after success with new EUV process (https://www.theregister.co.uk/2020/03/25/samsung_euv/)
15-20% höhere Transistordichte als ohne EUV, 10% geringere Leistung.
MfG

y33H@
2020-03-25, 13:08:56
Das bezieht sich auf N7+ EUV von TSMC und nicht auf D1a von Samsung ...

smalM
2020-03-28, 17:13:29
Was soll denn da überhaupt in EUV belichtet werden auf einem RAM-Die?
Connects und Vias und das war's? Nur beim Logic-Teil oder auch in den Speicherbänken?

smalM
2020-04-05, 11:58:13
TechInsight (https://www.techinsights.com/blog/techinsights-confirms-samsungs-true-7lpp-process-samsung-exynos-990): Single Diffusion Break in Samsungs 7LPP

AnandTech (https://www.anandtech.com/show/15603/the-samsung-galaxy-s20-s20-ultra-exynos-snapdragon-review-megalomania-devices/6): Andrei in seinem Test des Galaxy S20 mit einer kleinen Betrachtung zu 7nm von Samsung und von TSMC.

KarlKastor
2020-04-05, 22:21:33
Ich mag ja seine detaillierten Analysen, aber hier liegt er falsch.
"I had mentioned that the 7LPP process is quite a wildcard in the comparisons here. Luckily, I’ve been able to get my hands on a Snapdragon 765G, another SoC that’s manufactured on Samsung’s EUV process. It’s also quite a nice comparison as we’re able to compare that chip’s performance A76 cores at 2.4GHz to the middle A76 cores of the Exynos 990 which run at 2.5GHz. Performance and power between the two chips here pretty much match each other, and a clearly worse than other TSMC A76-based SoCs, especially the Kirin 990’s. The only conclusion here is that Samsung’s 7LPP node is quite behind TSMC’s N7/N7P/N7+ nodes when it comes to power efficiency – anywhere from 20 to 30%."

Die 2nd Tier Cores beim 855 verbraten genauso 2W wir beim 990 und 765G. Die höhere Performance kommt durch größere/schnellere Caches und Speicher.

Beim Kirin 990 4G sieht man doch, dass der wesentlich effizienter ist als sein Vorgänger, auf dem gleichen Prozess. Wie soll das Rückschlüsse auf den Prozess zulassen? Der 980 ist nicht effizienter als die 7LPP Brüder. Auch wenn ein Vergleich aufgrund unterschiedlicher Betriebspunkte schwer ist.
Interessant ist Kirin 4G vs 5G. Hier sieht man den Vorsprung des 7 EUV.

HOT
2020-04-06, 15:46:28
Ganz gleich ist der nicht - Kirin 990 4G setzt auf N7P während Kirin 980 auf den originalen N7 setzt. Aber ja, da Rückschlüsse auf die Fertigung zu ziehen ist eigentlich immer Äpfel + Birnen.

Wirklich interessant wäre mal Kirin 990 4G vs. Kirin 990 5G, also N7P vs. N7+.

KarlKastor
2020-04-06, 17:22:46
Mh? Den Vergleich gibt's doch bei anandtech.

Aber woher hast du das mit N7P beim 4G?
Ich sehe entweder unspezifisch 7nm oder explizit N7 genannt.

dildo4u
2020-04-14, 09:04:18
TSMC 5nm im Plan 3nm verspätet.

https://www.computerbase.de/2020-04/tsmc-5-nm-fertigung-plan-3-nm-2022/

Schätze mal das trifft zu erst Apple bin mal gespannt was sie dann machen.

Mortalvision
2020-04-14, 09:08:52
Na was wohl: TSMC verklagen :usweet: oder die nächste Generation einfach paar Monate später rausbringen. Apple ist Apple, denen laufen die Kunden nicht so schnell weg...

AffenJack
2020-04-14, 09:19:09
TSMC 5nm im Plan 3nm verspätet.

https://www.computerbase.de/2020-04/tsmc-5-nm-fertigung-plan-3-nm-2022/

Schätze mal das trifft zu erst Apple bin mal gespannt was sie dann machen.

Wo ist da jetzt die News? CB schreibt: "Vor 2022 ist bei 3 nm nicht mit Chips aus der Serienproduktion zu rechnen."

Vor 2022 war nie mit 3nm zu rechnen. Also entweder verspätet sich 3nm von H1 2022 auf H2 2022 oder es gibt keine News?
Der Plan war die ganze Zeit:
2020: N5
2021: N5P
2022: N3

dildo4u
2020-04-14, 09:24:36
Halbes Jahr in 2022,das heißt sie wären zu spät für eine Herbst iPhone Release.

Sunrise
2020-04-14, 10:34:22
TSMC hat da immer einen recht großen Puffer eingebaut. Ich glaube nicht, dass 3nm für Apple deshalb in Gefahr ist. Es hängt aber evtl. auch davon ab, wie stark die Nachfrage sein wird. Apple hat kürzlich etwas zurückgefahrene Wafer-Kapazität von Huawai direkt übernommen. Apple ist also zuversichtlich, dass sich die Lage wieder bessern wird und der Meinung bin ich auch.

Man sieht gerade aktuell, wie wichtig vielen Menschen Technik/Smartphones geworden sind, das kommt direkt nach Brot und Wasser...

TSMC hat immense Summen in die neue Fab gesteckt, ich kann mir nur schwer vorstellen, dass man 3nm wegen ein paar Monaten komplett verschiebt, denn bis dahin vergehen noch zwei Jahre.

HOT
2020-04-14, 11:43:03
Wo kommt der Quatsch überhaupt her? Ich glaub nicht, dass Huawei soviel weniger Dies produziert. Einzig die ARM-Lizenz für künftige Produkte ist evtl. ein Problem. Hinzu kommt doch, dass vor allem der 5G betroffen wäre und der ist doch mit EUV gefertigt, das hat mit Apples Fertigung gar nichts zu tun.
Für Apple wäre N5 für 2020, N5P für 2021 und N3 für 2022 angesetzt gewesen. Das verschiebt sich jetzt - jetzt bleibt die Frage, ob Apple erst im Frühjahr 2023 releast oder ob man diese Generation einfach nochmals in N5P auflegt. Für die Foundries zählt natürlich der erste Chip, der kommerziell vom Band läuft.

Zossel
2020-04-14, 18:33:07
Na was wohl: TSMC verklagen :usweet: oder die nächste Generation einfach paar Monate später rausbringen. Apple ist Apple, denen laufen die Kunden nicht so schnell weg...

Welcher Passus in den Verträgen gibt Apple den eine Klagemöglichkeit?
Lass uns doch alle mal in dein Nähkästchen schauen.

Zossel
2020-04-14, 18:36:54
Google macht jetzt auch in Smartphone-SOCs, in 5nm@Samsung: https://www.golem.de/news/whitechapel-google-hat-lauffaehigen-pixel-chip-2004-147870.html

BTW: Sollte man die ARM-CPUs nicht mal in einen Thread zusammenführen?

Ein Thread für ARMs von foo und ein anderer Thread für ARMs von bar macht es nicht übersichtlicher.

Wörns
2020-04-15, 13:43:59
AMD, Apple, and Nvidia lap up TSMC capacity vacated by Huawei (https://hexus.net/tech/news/industry/141568-amd-apple-nvidia-lap-tsmc-capacity-vacated-huawei/)

Daraus:
"...Interestingly, both Apple and AMD are looking to book 'N5 Enhanced' production capacity at TSMC for later in the year. This enhanced process was developed specifically for AMD, says the report..."

AMD benötigt doch einen High Performance Prozess. Warum anscheinend jetzt auch Apple?
Ist das der neue ARM-SoC von Apple, der Intel Chips ersetzen soll?
MfG

smalM
2020-04-15, 13:57:47
Ein Thread für ARMs von foo und ein anderer Thread für ARMs von bar macht es nicht übersichtlicher.
foo ist bereits unter ARM-Eigenentwicklungen von Apple zu finden, bar könnte man in einen Arm-Thread reinschmeißen, es haben eh alle nur noch Arm-CPUs und die meisten auch Arm-GPUs.
Aber das hier ist der Thread für Chipfertigung, also gehört hier nicht hin, wie der neue SoC von Google wohl aussieht, sondern ob er wohl tatsächlich in 5LPE kommt.

basix
2020-04-15, 14:46:57
AMD, Apple, and Nvidia lap up TSMC capacity vacated by Huawei (https://hexus.net/tech/news/industry/141568-amd-apple-nvidia-lap-tsmc-capacity-vacated-huawei/)

Daraus:
"...Interestingly, both Apple and AMD are looking to book 'N5 Enhanced' production capacity at TSMC for later in the year. This enhanced process was developed specifically for AMD, says the report..."

AMD benötigt doch einen High Performance Prozess. Warum anscheinend jetzt auch Apple?
Ist das der neue ARM-SoC von Apple, der Intel Chips ersetzen soll?
MfG

Zen 4? CDNA1? CDNA2? RDNA3? Was kommt von AMD, dass sie so früh schon so viel N5E buchen? Ich würde auf CDNA1/2 tippen, aber welcher von beiden?

Berniyh
2020-04-15, 15:37:36
Zen 4? CDNA1? CDNA2? RDNA3? Was kommt von AMD, dass sie so früh schon so viel N5E buchen? Ich würde auf CDNA1/2 tippen, aber welcher von beiden?
CDNA1 dürfte Arcturus sein und der wird doch schon gefertigt, also wohl ziemlich sicher CDNA2 und Zen 4 Chiplets.
Dazu noch vermutlich noch RDNA3 und (wahrscheinlich etwas verzögert) diverse APUs.

Hier im Forum wurde auch schon spekuliert, dass man für Navi23 (der laut Mutmaßungen von Leo noch über Big Navi angeordnet sein soll) auch auf 5 nm gehen könnte, aber da glaube ich nicht so richtig daran, dass man so eine Aufteilung innerhalb einer Chipfamilie macht.

HOT
2020-04-15, 16:02:39
Kirin 990 4G ist ja nach wie vor N7P, nicht N7+. Kann mir trotzdem nicht vorstellen, dass das so gigantisch viel sein soll.

spotz
2020-04-15, 16:06:45
In dem Bericht wird ja auf den Twitter Account von RetiredEngineer verlinkt, der dort schreibt: "AMD requires no less than 20K 12" wpm" Vielleicht weiß ja jemand was für Stückzahlen das ergäbe. Dann könnte man ja Rückschlüsse ziehen, auf welche AMD Produkte das passt. Nebenbei schreibt der RetiredEngineer von "enhanced 5nm". Damit ist doch TSMCs N5P gemeint, der in der Roadmap in der zweiten Jahreshälfte 2021 vermerkt ist?

Berniyh
2020-04-15, 18:13:59
In dem Bericht wird ja auf den Twitter Account von RetiredEngineer verlinkt, der dort schreibt: "AMD requires no less than 20K 12" wpm" Vielleicht weiß ja jemand was für Stückzahlen das ergäbe. Dann könnte man ja Rückschlüsse ziehen, auf welche AMD Produkte das passt. Nebenbei schreibt der RetiredEngineer von "enhanced 5nm". Damit ist doch TSMCs N5P gemeint, der in der Roadmap in der zweiten Jahreshälfte 2021 vermerkt ist?
Man weiß über CDNA2 und RDNA3 bislang eigentlich überhaupt nichts, außer, dass es die geben wird.
Diese beiden werden aber einen großen Teil der Wafer aufbrauchen.

Vernünftige Abschätzung sind eigentlich nur bei Zen4 möglich, aber der Anteil der Wafer von Zen4 wird recht gering sein, da die Chiplets so winzig sind, evtl. so 3000-4000 Wafer/Monat. Das ist zumindest die Größenordnung bei 7nm und Zen2/3.

Zossel
2020-04-15, 18:23:34
wie der neue SoC von Google wohl aussieht, sondern ob er wohl tatsächlich in 5LPE kommt.

Inwiefern entspricht mein Posting nicht deinen Maßstäben?

ChaosTM
2020-04-15, 19:27:25
Glaubt ihr, das Intel irgendwann mal wieder aufschließen kann, oder ist der Zug bereits abgefahren?
Die müssten Ende 2022 mit ihren 5nm kommen, um ....

amdfanuwe
2020-04-15, 19:44:22
AMD stand vor ein paar Jahren vor dem Bankrot. Hat keiner mit gerechnet, dass sie solch ein Come Back feiern. Wenn Intel es schafft mit der EUV Produktion auf TSMC aufzuschließen, spielen die auch wieder groß mit.

Berniyh
2020-04-15, 20:04:15
Glaubt ihr, das Intel irgendwann mal wieder aufschließen kann, oder ist der Zug bereits abgefahren?
Die müssten Ende 2022 mit ihren 5nm kommen, um ....
Intel feiert doch Rekordgewinne, was soll da abgefahren sein?

R&D kann immer mal in eine falsche Richtung gehen.
Bei manchen Firmen bricht das der Firma das Genick (oder fast, so wie bei AMD), aber wenn du solche Marktmacht wie Intel hast, dann kann eigentlich fast nix passieren.
Intel könnte vermutlich noch mal 5 weitere Jahre mit 14nm verbringen und wäre immer noch finanziell gut aufgestellt und hätte Zeit/Geld den Rückstand aufzuholen.

Unicous
2020-04-15, 20:04:35
Man sollte Intel niemals abschreiben und es kommt natürlich auch darauf an wie viel Intel bereit ist zu investieren um die Krone zurückerobern. Darüber hinaus kochen TSMC und Samsung auch nur mit Silizium und es ist möglich, dass sie etwas verbocken und TSMC insbesondere wieder zurückfällt.

Für die nähere Zukunft sieht es hingegen nicht so rosig aus, auch wenn einige verstrahlte Intel-Apologeten das anders sehen.:wink:

Und man muss auch sehen, dass Intel in der Vergangenheit deutlich aggressiver bzw. progressiver war was die Performance und die Charakteristiken der Nodes anging. Damit sind sie bei 14nm und 10nm ordentlich auf die Schnauze geflogen.
TSMC scheint deutlich aggressiver zu sein und das hat sich bei 7nm auf jeden Fall ausgezahlt und Intels Großspurigkeit hat ihnen mal wieder einen Denkzettel verpasst.

Die Frage die sich stellt: Lernt Intel daraus oder tun sie nur so bis es zum nächsten Crash kommt. Rein historisch gesehen und wenn man bedenkt wie es um die Sicherheit ihrer Chips bestellt ist und dem Fakt, dass sie momentan von einem Unternehmen an der Nase durch den Ring geführt werden, das nicht einmal 1/10 so groß ist, sehe ich eher schwarz.:freak:

Ich gehe davon aus, dass sie bei 7nm die Specs als Sicherheitsmaßnahme etwas gelockert haben um nicht Gefahr zu laufen "10nm" zu wiederholen aber danach dann wieder auf "Risiko" gehen um den Abstand aufzuholen.

AffenJack
2020-04-15, 20:18:48
Man muss am Ende auch sehen, dass wir über die richtigen Prozesscharakteristika viel zu wenig wissen, um wirklich einzuschätzen, wie gut welcher Prozess ist. Klar hat Intel es mit 10nm richtig versemmelt, aber über spätere Prozesse wissen wir viel zu wenig.

z.B. soll TSMCs 3nm Prozess zwar recht früh kommen und ne super Density haben, aber nach letzten Infos wird das noch immer ein FinFet Prozess. Samsung 3nm soll zwar viel weniger Density haben, aber Samsung will zumindest 3nm mit GAA ausliefern. Da könnte es am Ende sein, dass Samsung viel mehr Takt bei geringerer Density schafft. (Falls sie ihre Versprechen halten, woran ich zweifle). Wo Intel da liegen wird, weiß dagegen niemand, mit GAA werden die Karten wieder ziemlich neu gemischt, da es zwischen den möglichen GAA Lösungen auch unterschiedliche Charakteristika gibt.

basix
2020-04-15, 21:37:59
In dem Bericht wird ja auf den Twitter Account von RetiredEngineer verlinkt, der dort schreibt: "AMD requires no less than 20K 12" wpm" Vielleicht weiß ja jemand was für Stückzahlen das ergäbe. Dann könnte man ja Rückschlüsse ziehen, auf welche AMD Produkte das passt.

Die 20k wpm sind sehr viel. AMD hat für die zweite Jahreshälfte 30k wpm in 7nm geordert: https://wccftech.com/amd-7nm-wafer-production-set-to-double-in-2h-2020-7nm-capacity-at-tsmc-currently-fully-booked/

Und ausrechnen, was es bedeutet, kann jeder selbst:
https://caly-technologies.com/die-yield-calculator/

Beispiel: 20k wpm sind bei einem 150mm2 Renoir Die etwa 7 Millionen Stück pro Monat.

Denniss
2020-04-15, 22:32:25
Ob man bei gleichem Prozess unterschiedliche Dies auf einem Wafer fertigen kann? Also CCDs vom Matisse reinquetschen wo kein Renoir Die mehr reinpasst?
Bei den große Dies von Navi wäre sowas sicherlich auch praktisch.
Wäre aber wohl zu schön um wahrscheinlich zu sein.

Brillus
2020-04-15, 23:25:54
Ob man bei gleichem Prozess unterschiedliche Dies auf einem Wafer fertigen kann? Also CCDs vom Matisse reinquetschen wo kein Renoir Die mehr reinpasst?
Bei den große Dies von Navi wäre sowas sicherlich auch praktisch.
Wäre aber wohl zu schön um wahrscheinlich zu sein.
Nicht reinquesteschen, man kann Masken machen die dan unterschiedliche CHips enthalten aber damit macht man dann auch den ganzen Wafer voll.

Sunrise
2020-04-16, 13:00:15
Man muss am Ende auch sehen, dass wir über die richtigen Prozesscharakteristika viel zu wenig wissen, um wirklich einzuschätzen, wie gut welcher Prozess ist. Klar hat Intel es mit 10nm richtig versemmelt, aber über spätere Prozesse wissen wir viel zu wenig.

z.B. soll TSMCs 3nm Prozess zwar recht früh kommen und ne super Density haben, aber nach letzten Infos wird das noch immer ein FinFet Prozess. Samsung 3nm soll zwar viel weniger Density haben, aber Samsung will zumindest 3nm mit GAA ausliefern. Da könnte es am Ende sein, dass Samsung viel mehr Takt bei geringerer Density schafft. (Falls sie ihre Versprechen halten, woran ich zweifle). Wo Intel da liegen wird, weiß dagegen niemand, mit GAA werden die Karten wieder ziemlich neu gemischt, da es zwischen den möglichen GAA Lösungen auch unterschiedliche Charakteristika gibt.
Wir wissen ja inzwischen, dass Samsung gerne viel prahlt, aber effektiv alle wieder zu TSMC rennen, wahrscheinlich weil TSMC auch viel flexibler Kundenbedürfnisse in die Prozessplanung einplant und generell die Zusammenarbeit deutlich kundenorientierter ist. Dafür, und dass man ständig perfekt im Zeitplan liegt, lassen sie sich natürlich gut bezahlen.

Wie ja TSMC jetzt bekanntgegeben hat, liegt 3nm im Zeitplan “on track”, also mal wie immer alles heiße Luft, bzw. es gab nachweislich bei ASML-Anlagen (laut ASML) verzögerte Auslieferungen an Equipment und das betrifft ja nicht nur die Belichter selbst, da TSMC die neue Fab (Phase 3 und 4) damit ausrüsten muss, damit das Prototyping starten kann. TSMC ist aber wie in der Vergangenheit zu sehen sehr gut darin, auch einen Spielraum bei neuen Technologien zu haben, sodass man stattdessen eher den Basis-Prozess verbessert, und neue, große Schritte eben mehr Zeit haben, sie zur Massenproduktion zuzulassen.

Samsung hat natürlich die Corona-Lage auch gleich genutzt, um zu erklären, dass sie ja später dran sind. So ein Zufall aber auch...

Lehdro
2020-04-22, 15:09:56
Hatten wir das schon hier?
Seeking Alpha: Taiwan Semiconductor Manufacturing Company Losing Its Process Leadership To Intel (https://seekingalpha.com/article/4338843-taiwan-semiconductor-manufacturing-company-losing-process-leadership-to-intel)
Ganz wichtig:
This article is also under the assumption that the companies deliver on their officially stated timelines/roadmaps.

https://static.seekingalpha.com/uploads/2020/4/18/13402352-1587229500654763.png

Die spekulieren dort anhand der verfügbaren Informationen bzw. Informationsfetzen mit den Werten der Nodes und der Timeline die auf den Roadmaps offiziell angegeben werden. Ist ein ganz interessantes Gedankenexperiment (viele Unsicherheitsfaktoren) zur Lage der Chipfertigung in ein paar Jahren. Schade nur dass sicherlich aus Gründen der Komplexität Betrachtungen von EUV und ähnlichem (FenFETs/GAA) recht kurz gekommen sind. Ebenso die Verfügbarkeit der Nodes (Riskproduction <-> Volumeproduction) und die Yields.

Unicous
2020-04-22, 15:20:30
Kannst du getrost unter ich bin ein riesiger Intel fanboy abhaken. Der Autor heißt auf Twitter Witeken und ist mit Abstand der größte Apologet den es gibt. Intel kann nichts falsch machen, AMD hatte nur mal kurz Glück weil 10nm ein wenig Probleme bereitet und TSMC wird bald überholt weil Intel einfach besser ist. Der Typ darf glaube ich auch bei THG seine Teenie-Schwarm stories schreiben weil die wirklich nicht checken, dass der Typ nicht einmal ansatzweise neutral berichten kann. Es ist wirklich lustig mitzulesen wie sich alle über ihn auf
Twitter mokieren.

Berniyh
2020-04-22, 15:38:36
"This article is also under the assumption that the companies deliver on their officially stated timelines/roadmaps."

Hat ja bei Intel gerade bei der Thematik in den letzten 5 Jahren super geklappt.

Lehdro
2020-04-22, 16:42:18
Kannst du getrost unter ich bin ein riesiger Intel fanboy abhaken. Der Autor heißt auf Twitter Witeken und ist mit Abstand der größte Apologet den es gibt. Intel kann nichts falsch machen, AMD hatte nur mal kurz Glück weil 10nm ein wenig Probleme bereitet und TSMC wird bald überholt weil Intel einfach besser ist. Der Typ darf glaube ich auch bei THG seine Teenie-Schwarm stories schreiben weil die wirklich nicht checken, dass der Typ nicht einmal ansatzweise neutral berichten kann. Es ist wirklich lustig mitzulesen wie sich alle über ihn auf
Twitter mokieren.
Ich glaube dir das einfach mal so, aber trotzdem finde ich die Kennzahlen sehr interessant: Sind die denn aussagekräftig oder auch generell eher mit Vorsicht zu genießen?
Ich frage das rein vom technischen Standpunkt aus, das Intel Roadmaps in den letzten Jahre eher eine sehr ungenaue Glaskugel sind, ist mir schon bewusst.

Platos
2020-04-22, 17:07:04
https://en.wikichip.org/wiki/5_nm_lithography_process

https://fuse.wikichip.org/news/3398/tsmc-details-5-nm/

Laut Wikichip sieht es so aus, als wäre der Intel 7nm Prozess (Erwartung) sogar noch etwas "besser", wie TSMCs 5nm Prozess (siehe erster Link).

Zu der Grafik von oben: Also ich frag mich ja, inwiefern Intel im Q319 auf Augenhöhe von TSMC sein soll (oder in Q220 deutlich über TSMC). Das alleine genügt mir schon, um die ganze Grafik zu verwerfen. Denn das ist doch einfach mal nicht mehr als Träumerei.

Unicous
2020-04-22, 17:14:27
Soweit ich das mitbekommen habe, hat der Typ sich die Hälfte aus den Fingern gesogen und den Rest "interpoliert". Aber ich habe um ehrlich zu sein keinen Bock den Mist durchzulesen, ich fremdschäme mich schon jedes Mal wenn ich einen Tweet von dem Typen lese in dem er Comet Lake und und Co. in den Himmel lobt.

Hier ein Tweet von Ende März wo er überrascht ist, dass Comet Lake nicht so effizient ist wie Renoir /und in einer Intel roadmap rumkritzelt wie ein Kleinkind.).:freak:

Intel perf/watt roadmap. The only thing I’ll give Renoir/Zen2 is I’d have expected closer perf/watt from Comet Lake 14+++.
https://twitter.com/witeken/status/1244904734294769664

Er löscht auch gerne mal Tweets wenn er entlarvt wird, oder zitiert feuchtfröhlich aus paywall Artikeln von Charlie Demerjian.

HOT
2020-04-22, 17:40:08
Kann man sich doch auch in etwa selbst zusammenspinnen, wie das laufen wird. 10nm Intel ist etwa vergleichbar mit N7x TSMC, 7nm Intel dürfte mit N5(P) vergleichbar sein (Intel ist da etwas ehrgeiziger bei der Dichte). TSMC ist bereits in Massenproduktion mit N5, N5P läuft Ende das Jahres bereits an, Intel dürfte bei jetzigem Stand nicht vor 1HJ 2022 mit der ersten 7nm-Iteration in Massenproduktion gehen. Wir haben also etwa 2 Jahre Verzug. Das holt man eben nicht mal eben auf.

smalM
2020-04-30, 16:37:42
Scotten Jones auf semiwiki.com: Can TSMC Maintain Their Process Technology Lead? (https://semiwiki.com/semiconductor-manufacturers/intel/285192-can-tsmc-maintain-their-process-technology-lead/)

Tarkin
2020-04-30, 21:12:49
Scotten Jones auf semiwiki.com: Can TSMC Maintain Their Process Technology Lead? (https://semiwiki.com/semiconductor-manufacturers/intel/285192-can-tsmc-maintain-their-process-technology-lead/)

Auch interessant in den Kommentaren:

User "SemiWiki.com"

"The other thing you should know is that if a customer is taping out leading edge designs at multiple foundries (NVIDIA, QCOM, Intel, etc...) they do not get early access to TSMC processes. AMD made a very wise choice in working only with TSMC at 5nm. When AMD 5nm chips come out you will see."
We already know AMD does a lot of silicon level optimization, so this is intriguing info if true.

JVC
2020-05-08, 13:18:07
Kennt sich wer gut bei den verschiedenen 7nm Prozessen aus ?

Es gibt ja:
Samsung 7nm EUV , TSMC 7nm / 7nm EUV / 7nm+?
Samsungs 7nm EUV scheint ja noch schlechter zu laufen als TSMCs 7nm ?
TSMCs 7nm EUV scheint aber nicht ganz so gut zu sein wie erhofft ?
Von TSMCs 7nm+ für AMD hört man immer weniger (AMD hat das + schon gestrichen in den Roadmaps)

Was bleibt dann interessantes übrig ?
1. TSMC 7nm EUV (der scheinbar beste Prozess?)
2. TSMC 7nm (wird umgestellt auf 7nm EUV?)
3. Samsung 7nm EUV (wird Samsung noch besser?)

Stimmt das ~so? Oder bin ich am Holzweg?

Ich will keinen Doktor machen in dem Nanometer Zeug.
Die Platzierung der Prozesse von der Leistungsfähigkeit her reicht mir.
Danke :)

M.f.G. JVC

Piefkee
2020-05-08, 14:46:37
Kennt sich wer gut bei den verschiedenen 7nm Prozessen aus ?

Es gibt ja:
Samsung 7nm EUV , TSMC 7nm / 7nm EUV / 7nm+?
Samsungs 7nm EUV scheint ja noch schlechter zu laufen als TSMCs 7nm ?
TSMCs 7nm EUV scheint aber nicht ganz so gut zu sein wie erhofft ?
Von TSMCs 7nm+ für AMD hört man immer weniger (AMD hat das + schon gestrichen in den Roadmaps)

Was bleibt dann interessantes übrig ?
1. TSMC 7nm EUV (der scheinbar beste Prozess?)
2. TSMC 7nm (wird umgestellt auf 7nm EUV?)
3. Samsung 7nm EUV (wird Samsung noch besser?)

Stimmt das ~so? Oder bin ich am Holzweg?

Ich will keinen Doktor machen in dem Nanometer Zeug.
Die Platzierung der Prozesse von der Leistungsfähigkeit her reicht mir.
Danke :)

M.f.G. JVC

TSMC hat folgende Produkte:
N7 (DUV -> Zen 2)
N7P (2. Gen DUV -> Navi1x)
N7+ ( EUV -> shrink)

Samsung hat
7nm oder 7nm LPP ( EUV ->)
Gibt aber schlechtes Yield bei Samsung und allgemein Probleme...

HOT
2020-05-08, 15:19:31
N7/P -> DUV (erstes Produkt Apple A12)
N7+ -> DUV+EUV, inkompatibel zu N7/P und N6 (erstes Produkt Huawei (HiSilicon) Kirin 990 5G)
N6 -> EUV aber mit N7/P Designruleskompatiblität
N5 -> EUV Fullnode (vermutlich erstes Produkt Apple A13)

11LPP -> optimierter 14LPP
8LPP -> optimierter 10LPP
7LPP -> erster EUV-Node (erstes Produkt Exynos 990)
6LPP/5LPP/4LPP -> jeweils optimierte EUV-Nodes (immer mehr Lagen immer dichter gepackt)
3LPx -> GAAFET-Prozess

Complicated
2020-05-08, 16:47:51
N7/P -> DUV (erstes Produkt Apple A12)
N7+ -> DUV+EUV (4-EUV-Layer), inkompatibel zu N7/P und N6 (erstes Produkt Huawei (HiSilicon) Kirin 990 5G)
N6 -> DUV+EUV (5-EUV-Layer)aber mit N7/P Designruleskompatiblität
N5 -> DUV+EUV Fullnode (14-EUV-Layer) (vermutlich erstes Produkt Apple A13)

Dieses "Fullnode" suggeriert einigen es wären alle Layer EUV.
https://www.anandtech.com/show/15016/tsmc-5nm-on-track-for-q2-2020-hvm-will-ramp-faster-than-7nm

The key difference between N5 and its predecessors that use EUVL is that it is designed to use EUVL on up to 14 layers (up from four and five in case of N7+ and N6).

JVC
2020-05-09, 09:58:23
Danke das hilft :) (N7+ = 7nm EUV)
Also ist TSMC momentan der eindeutig bessere Hersteller.
TSMC scheint ja einen Lauf zu haben.

Erst mal "N21"(N7+?) und Zen3(N7P?) ... 5nm nehme ich dann bei DDR5 und AM5 mit ^^

M.f.G. JVC

HOT
2020-05-09, 10:40:57
MMN haben die Konsolen SoCs N7P und Zen3 hat sehr sicher N7+. Bei den GPUs ists noch unklar.

JVC
2020-05-09, 11:27:40
Ah, ok, Danke :)

Irgendwie ist es schwierig in dem Bereich mal klare einfach verständliche Infos zu bekommen ^^

Eigentlich ist der Prozess zweitrangig, aber zum spekulieren...

3080Ti nun doch nur "8nm"? (verbesserte 10nm?)
https://www.3dcenter.org/news/hardware-und-nachrichten-links-des-8-mai-2020

M.f.G. JVC

Berniyh
2020-05-09, 12:18:01
Danke das hilft :) (N7+ = 7nm EUV)
Also ist TSMC momentan der eindeutig bessere Hersteller.
TSMC scheint ja einen Lauf zu haben.

Erst mal "N21"(N7+?) und Zen3(N7P?) ... 5nm nehme ich dann bei DDR5 und AM5 mit ^^

M.f.G. JVC
Ich denke schon auch, dass die "7nm+", die AMD früher auf den Folien angegeben haben dem N7+ Prozess entsprechen, auch wenn sie das jetzt dementieren.

Ich denke man hat hier einfach umgeplant (bzw. hat evtl. auch TMSC umgeplant bei der Prozess-Roadmap), so dass man hier dann doch auf andere Prozesse setzt. Nun nimmt man die Diskrepanz in der Bezeichnung zum Anlass die eigene Taktik etwas zu verschleiern.

Insbesondere meine ich damit, dass sowohl Navi2x als auch Zen3 auf N7+ geplant waren (also vor 1-2 Jahren, als eben diese Roadmaps aktuell waren).
Natürlich möglich, dass man da umgeschwenkt hat und sich dann doch für N7P entschied, mal sehen.
Bei den Konsolen scheint das ja der wahrscheinliche Weg zu sein.

Bei Zen3 würde ich aber erwarten, dass der in N7+ kommt. Bei den Servern ist Effizienz einfach immens wichtig und N7+ bringt da schlicht mehr als N7P.
Dazu kommt, dass die Chiplets einfach verhältnismäßig wenig Wafer brauchen.
Bei Navi2x würde ich eigentlich den N7+ Prozess auch erwarten. Zum Einen, da man hier deutlich stärker unter Zugzwang wegen Nvidia ist, zum anderen, da man von 50% besserer Effizienz gegenüber RDNA1 sprach und das ausschließlich über Verbesserungen in der Architektur zu erreichen ist eben sportlich. Da RDNA1 ja angeblich auch schon in N7P gefertigt wird muss man hier fast erwarten, dass RDNA2 dann in N7+ kommt.

Theoretisch wäre es denkbar, dass man (soweit die Zuordnung stimmt) z.B. Navi21 und Navi22 in N7+ fertigt und den mutmaßlich günstigeren Chip Navi23 in N7P, aber da gehen evtl. auch ein paar Synergieeffekte verloren.
Wobei die verliert man ggf. eh, wenn man sich überlegt, dass ziemlich sicher diverse RDNA2 Produkte in N7+ bzw. N7P kommen.
Gibt da ja so einige (Konsolenchips, APUs, Grafikkarten).

Spannend wird es vor allem wieder mit den APUs. Da gibt es einen Wildwuchs an Codenamen und keiner weiß so richtig wie die einzuordnen sind.
Der angeblich "wesentliche" Chip wechselt auch immer mal wieder. Ursprünglich war es Rembrandt, dann Van Gogh, zuletzt wurde auch Cezanne gehandelt.
Theoretisch(!) könnte es auch zwischen denen Unterschiede in der Fertigung geben (so denn alle 3 kommen).

amdfanuwe
2020-05-09, 12:26:50
Ich würde N6 für AMDs Produkte nicht außer Acht lassen.
Ich denke, es ist mehr als Zufall, dass N6 Ende des Jahres in Massenproduktion geht, wenn auch ZEN3 und die Konsolenchips erwartet werden. Zumal N6 auch billiger sein soll.
Sollte es mit N6 Probleme geben, hat man mit N7P einen Fallback Pfad.

Berniyh
2020-05-09, 13:02:10
Ich würde N6 für AMDs Produkte nicht außer Acht lassen.
Ich denke, es ist mehr als Zufall, dass N6 Ende des Jahres in Massenproduktion geht, wenn auch ZEN3 und die Konsolenchips erwartet werden. Zumal N6 auch billiger sein soll.
Sollte es mit N6 Probleme geben, hat man mit N7P einen Fallback Pfad.
Genau das meinte ich mit den möglichen Änderungen in der TMSC Roadmap.
Evtl. war zu Zeiten wo die alten AMD Roadmaps erstellt wurden einfach noch nicht so klar, dass es den N6 Prozess mal in der Form geben wird.

Ich bin jetzt aber nicht zurück gegangen um zu sehen wann der Prozess erstmals aufgetaucht ist, das war mir dann doch zu viel Aufwand.

In jedem Fall nehme ich die Geschichte seitens AMD mit 7nm+ heißt nicht N7+ nur so halb ab, ich denke die Zuordnung war da schon nicht verkehrt, zumindest früher.

smalM
2020-05-12, 15:06:17
Ein Wechseln von N7+ zu einem der Prozesse N7P oder N6 benötigt ein Re-Design, mit einem Re-Tape-out ist es nicht getan. Die Vorteile des Wechsels müßten schon ganz erheblich sein, um ihn noch so spät zu vollziehen.
Wenn man den Kirin 990 5G so betrachtet, scheint der N7+ nicht gerade kaputt zu sein, also müßte der N6 wirtschaftliche Vorteile durch den Ersatz von drei weiteren DUV- durch eine EUV-Belichtung bringen, bspw. eine kürzere Durchlaufzeit in der Produktion und damit eine höhere Kapazität und/oder eine bessere Ausbeute/günstigeres Binning.

Distroia
2020-05-13, 21:06:30
https://www.tweaktown.com/news/72445/amd-zen-4-and-rdna-3-nvidia-hopper-gpu-intel-xe-all-5nm-on-tsmc/index.html

Was haltet ihr von den Gerüchten?

AMD Zen 4 and RDNA 3, NVIDIA Hopper GPU, and Intel Xe: all 5nm on TSMC

It sounds like TSMC is having a party on 5nm, with AMD, Intel, NVIDIA, Qualcomm, Huawei, Apple, and more.

An exciting new rumor has emerged through a leak from ChinaTimes, which is reporting that AMD's next-gen Zen 4 processors, as well as AMD's upcoming RDNA 3 (and not RDNA 2 which is on 7nm) as well as NVIDIA's new Hopper GPUs will be made on the 5nm node by TSMC.


Wäre schon ganz schon heftig, wenn Intel als zweitgrößter Fertiger zu TSMC wechseln muss. Aber anders hätten sie wohl so schnell keine Chance, auch nur ansatzweise konkurrenzfähig mit Nvidia und AMD zu werden.

Und RDNA 3 und Hopper schon auf 5 nm wären sehr schöne Nachrichten.

Brillus
2020-05-13, 21:17:27
https://www.tweaktown.com/news/72445/amd-zen-4-and-rdna-3-nvidia-hopper-gpu-intel-xe-all-5nm-on-tsmc/index.html

Was haltet ihr von den Gerüchten?




Wäre schon ganz schon heftig, wenn Intel als zweitgrößter Fertiger zu TSMC wechseln muss. Aber anders hätten sie wohl so schnell keine Chance, auch nur ansatzweise konkurrenzfähig mit Nvidia und AMD zu werden.

Und RDNA 3 und Hopper schon auf 5 nm wären sehr schöne Nachrichten.

Ich kann mir ehrlich Intel wenig vorstellen. A) Irgendwoher müssten da ja auch Kapazitäten kommen und die anderen haben wohl schon früher reserviert. B) von der PR aus wäre auch scheiße.

Complicated
2020-05-13, 21:28:10
Seit März kursieren diese Gerüchte:
https://www.hardwaretimes.com/intels-xe-graphics-cards-will-be-fabbed-on-tsmcs-6nm-and-3nm-nodes-report/
DG1 is slated to launch at the end of 2020 with 96 EUs based on the Gen12 design. Each EU packs four ALUs with a base clock of 1GHz and a boost of 1.5GHz. It will be paired with 3GB video memory and feature a max TDP of just 25W. DG1 is going to be the only Intel GPU to be fabbed on Intel’s 10nm process, while DG2 will use TSMC’s 6nm node. Nur logisch, dass es auf 5nm weiter geht und für Intel ebenfalls der logische Schritt. Die Kapazitäten sind zu klein und der 7nm/6nm Prozess ist nötig um vorwärts zu kommen. Intel kann kein EUV derzeit, benötigt aber irgendwann einen Chip. Um genügend für CPUs verfügbar zu haben ist die Auslagerung der GPU zu TSMC sinnvoll.

Passend dazu:
https://techcrunch.com/2020/05/10/white-house-reportedly-in-talks-with-intel-tsmc-to-build-advanced-chip-foundries-in-the-u-s/?guccounter=1&guce_referrer=aHR0cHM6Ly93d3cuZ29vZ2xlLmNvbS8&guce_referrer_sig=AQAAAGRcOSe0h5cziTkdIVpldQ-oVY2hAQSZNJRDhMp4_AU7jzspHm0sNPnX51mwL_LDH2Zur4dIvvUNrNUs17APqzicQ0NcwCZIrIYgzLF AqTw0yXFfnChxAiRb2Uov8BoZ2OwYJAMOSLB9CjppdjGEBWxGaX3VJsxsqLS65iilhnTp
White House officials are talking to Intel and TSMC about building semiconductor foundries in the United States, according to a Wall Street Journal report. U.S. tech companies and the government have been trying to reduce the country’s dependence on chip factories in Asia for years, underscored by national security concerns, the U.S.-China tariff war and now the COVID-19 pandemic, which has disrupted supply chains and logistics around the world.

The WSJ also reported that some U.S. officials have also talked to Samsung Electronics about expanding its existing contract-manufacturing operations in the U.S. to produce more advanced chips.

Intel, TSMC and Samsung Electronics are able to make chips of 10-nanometers or lower, the fastest and most power-efficient chips currently on the market.

HOT
2020-05-14, 12:15:31
Hm heißt N5P jetzt einfach N5+? Wäre naheliegend. Intel ist ja mit Grafik ebenfalls aufgeführt. Das wird die Gelddruckmaschine für TSMC, N5+.

Sunrise
2020-05-14, 12:49:33
Für TSMC steht ab 5nm auch einiges auf dem Spiel. Und auch wenn sie bereits aggressiv im Markt waren, würde ich nichts Geringeres erwarten, als das. Sie sind sich ihrer Position bewusst.

Zossel
2020-05-18, 17:17:59
Donald dreht jetzt komplett frei: https://www.computerbase.de/2020-05/huawei-tsmc-analyst-summit-2020/

Kommt in der Produktion einer ausländischen Firma wie TSMC Technik oder Software aus den USA zum Einsatz, wie es etwa beim kalifornischen Unternehmen Applied Materials, dem weltgrößten Hersteller von Anlagen für die Halbleiterindustrie der Fall ist, müsste sich TSMC zuerst eine Genehmigung durch das US-Handelsministerium besorgen, bevor das Geschäft mit HiSilicon fortgesetzt werden kann.

Platos
2020-05-18, 19:37:27
Ja, siehe News von Leonidas.

Man sieht sehr schön, dass die USA definitiv kein vertrauenswürdiger Wirtschaftspartner ist. Eigentlich ein deutliches Signal für Europastaaten, sich da besser zu organisieren bzw. nicht alles mit sich machen lassen.

Gilt allerdings für China genau so. China ist sicherlich der letzte, der sich auf dem Markt fair anstellt. Chinas Strategie ist ja bekannt. Sich in Unternehmen "einschleusen" und das Wissen abziehen, dann im eigenen Land mittels extremer Subventionen den Markt verzerren bzw. alle anderen Marktteilnehmer ausschalten (und am besten noch vor konkurrenz schützen, indem man bei bestimmten Produkten/Branchen import verhindert). Naja, China ist sicher der letzte, der sich beschweren muss, aber so oder so geht das, was die USA da macht, langsam zu weit. Im Grunde ist das eine Vernichtung von Huawei. Wenn sie nicht mehr versorgt werden können, können sie gleich dicht machen, da sie in nicht mehr mithalten können. In anderen Zeiten wäre das eine Kriegserklärung.

Und jetzt ist spätestens der Zeitpunkt gekommen, in dem die USA auch direkt Europa schädigt. Die Milliarden für das 5G-Netz würden im Sand versinken, wenn Huwei plötzlich nicht mehr Markt-/Konkkurenzfähig ist. Das ganze ist nun also nicht nur ein Wirtschaftsangriff auf China, sondern auch direkt auf alle Länder, die (intensiv) mit China bzw. betroffene Unternehmen Handel treiben. Und wer macht das schon nicht in Europa?

Edit: Wobei man sagen muss, dass Europa und die USA auch in gewisser Weise selbst Schuld haben, dass China überall so ein Wachstum vorweisen kann. Die Investitionen sind hald hier und in der USA einfach gering, wärend dem China an den rihtigen Stellen massiv Geld fliessen lassen.

Mortalvision
2020-05-20, 20:02:46
https://www.scmp.com/economy/china-economy/article/3085230/us-semiconductor-giant-shuts-china-factory-hailed-miracle

Abbruch des Aufbaus einer Halbleiterfertigungsfabrik von GloFo in China.

Blediator16
2020-05-20, 21:10:46
GlobalFoundries - eine unglaubliche Geschichte des Erfolgs.

reaperrr
2020-05-21, 00:32:27
Die Ironie der ganzen Geschichte ist, dass es für die USA wahrscheinlich günstiger und schneller gewesen wäre, 2018 zu intervenieren, als GloFo beschlossen hat 7nm zu canceln. Dann hätte man spätestens dieses Jahr bereits eine nicht-Intel-Fab mit immerhin 7nm in den USA gehabt, und AMD hätte weniger Kapazitätsprobleme gehabt, da man zumindest Chips wie N14 und Teile der Chiplet- und/oder Renoir-Produktion bei GloFo hätte durchführen können (was sie ja durchaus getan hätten, wenn GloFo nicht das Handtuch geworfen hätte).

So hat China noch ein Zeitfenster von ca. 3-3,5 Jahren, um den USA und TSMC Knüppel zwischen die Beine zu werfen, was durchaus haarig werden könnte (man stelle sich vor, China annektiert Taiwan inkl. TSMC mit Militärgewalt, bevor irgendwelche Fabs in den USA fertig sind -> alle außer Intel am Popo).

Blediator16
2020-05-21, 00:43:16
Ich hoffe die Chinesen bleiben da, wo der Pfeffer wächst.

Mortalvision
2020-05-21, 00:44:30
Tja, die Stimmen für eben jene Militärintervention wereen in China grade sehr laut...

Lehdro
2020-05-22, 00:10:37
(man stelle sich vor, China annektiert Taiwan inkl. TSMC mit Militärgewalt, bevor irgendwelche Fabs in den USA fertig sind -> alle außer Intel am Popo).
Wenn China "einfach mal so" Taiwan annektiert haben wir ganz andere Probleme als sowas lächerliches wie Chipfertigung.

ianm
2020-05-22, 10:36:27
Ju, dritte Weltkrieg ahoi... :(

w0mbat
2020-05-22, 10:58:14
Tja, die Stimmen für eben jene Militärintervention wereen in China grade sehr laut...
China hätte keine Chance. Das werden sie sicher bleiben lassen.

AffenJack
2020-06-01, 09:55:17
schöner Artikel bzgl EUV und 3nm:

https://semiengineering.com/whats-next-for-euv/

Wirft aber auch wieder einige Fragen auf in Richtung TSMCs 3nm Zeitplänen. TSMC sagt Ende 2022, aber mit Single Patterning scheint nur mit den High-NA Belichtern von ASML machbar? Da kommen die ersten aber erst Ende 2022, 2023. Vor 2023 ist da an keine Massenproduktion zu denken.

Versucht TSMC also EUV Double Patterning in 3nm? Das dürfte die Kosten extrem ansteigen lassen.

Zossel
2020-06-01, 10:26:01
China hätte keine Chance. Das werden sie sicher bleiben lassen.

Mit ICBMs und Nuklearwaffen ist man vogelfrei.

w0mbat
2020-06-01, 12:52:17
OT: Bei einem echten Krieg hätte China sofort Probleme mit ihren abtrünnigen Regionen, das Land würde zerfallen.

HOT
2020-06-01, 14:04:12
USA ebenfalls ;). Die sind ja jetzt schon nah am Bürgerkrieg 2.0. Aber das ist total OT.

Zossel
2020-06-02, 22:14:04
US Manager schleimen für Kohle: https://www.golem.de/news/sia-us-chipbranche-will-37-milliarden-dollar-fuer-america-first-2006-148859.html

JVC
2020-06-03, 08:33:55
US Manager schleimen für Kohle: https://www.golem.de/news/sia-us-chipbranche-will-37-milliarden-dollar-fuer-america-first-2006-148859.html
"Eine Sprecherin des Außenministeriums wiederholte diese Position und sagte, der Staat arbeite "eng mit dem Kongress und der Industrie zusammen,
um sicherzustellen, dass die Zukunft der Halbleiterindustrie in den Vereinigten Staaten bleibt"."
("Nur 12 Prozent der Chips werden innerhalb der US-Grenzen hergestellt.")

:freak:
Vielleicht versteh ichs nach dem Kaffee :ucoffee:

M.f.G. JVC

Pirx
2020-06-03, 08:46:02
Wie wird es eigentlich bei GF weitergehen? Die können ja nicht ewig bei "12 nm" hängen bleiben und AMD auch nicht.

Brillus
2020-06-03, 10:19:51
Wie wird es eigentlich bei GF weitergehen? Die können ja nicht ewig bei "12 nm" hängen bleiben und AMD auch nicht.

Naja gibt genug Zeugs das nicht besseres braucht. Es werden ja auch immernoch 120nm+ Zeug hergestellt.

Und gerade IO kann noch gut einige Zeit so bleiben. Auf ganz lange Sicht kann es dann sowas sein wie aufkaufen von alten Produktionlinien.

amdfanuwe
2020-06-03, 10:40:58
TSMCs COWOS bzw. AMDs x3D Stacking ergeben ganz neue Möglichkeiten.
Möglich, dass der I/O Die aufgesplittet wird. Dann braucht es nur noch ein paar "kleine" 12nm DIEs mit den PHYs für PCIe, RAM, USB etc. und die Steuerlogik und IF Switch können auf einen gut shrinkbarem 7nm DIE oder kleiner untergebracht sein.
MIT 40µm Kontaktpitch und Microbridges zwischen Switch und Chiplets brauchen die IF Links zu den CPU Chiplets dann wesentlich weniger Leistung und können schneller werden bzw. können breiter angebunden werden.
Patente von AMD deuten ja darauf hin, dass es in die Richtung geht:
http://www.freepatentsonline.com/10510721.pdf

w0mbat
2020-06-08, 16:43:28
https://www.computerbase.de/2020-06/tsmc-forschung-2-nm-amd-huawei/


We did have some shortfalls on chips when we first launched our highest performing Ryzens, and that was simply demand outstripping what we had expected and what we had planned for. That wasn’t a TSMC issue at all.
AMD-CTO Mark Papermaster


Daraus hat AMD allem Anschein nach seine Lehren gezogen und profitiert laut übereinstimmenden Medienberichten nun von der Sperre seitens der USA gegenüber Huawei-Produkten, die sich auf Auftragsfertiger wie TSMC auswirken. Diese nehmen angeblich seit dem 15. Mai keine Bestellungen mehr von Huawei entgegen und machen die bereits gebuchten Kapazitäten frei für andere Hersteller. AMD soll bei 5 nm mit entsprechender Vorliebe zugegriffen haben.

LasterCluster
2020-06-08, 16:49:30
Ab wann muss TSMC mit der Produktion von Huaweichips aufhören? War nicht etwas mit Anfang 2021 im Gespräch? Bis dahin sollte Huawei erst ein mal mehr Kapazität bekommen

Complicated
2020-06-08, 17:18:34
September 2020.
https://asia.nikkei.com/Spotlight/Huawei-crackdown/TSMC-halts-new-Huawei-orders-after-US-tightens-restrictions
"TSMC has stopped taking new orders from Huawei after the new rule change was announced to fully comply with the latest export control regulation," a person familiar with the situation said. "But those already in production and those orders which TSMC took before the new ban are not impacted and could continue to proceed if those chips could be shipped before mid-September."

LasterCluster
2020-06-08, 17:36:33
Dank dir! Auch noch interessant:

Huawei has been preparing for such a move by the U.S. since the end of last year...

Also seit dieser Zeit hätte AMD ca reagieren können bzgl. TSMCs freigewordener Kapazitäten. Zu kurz für irgendwelche 5nm-Vermeer Spielereien für Jahreswechel 20/21. Aber lange genug um die Zen3-Bestellung und Matisse 2 Bestellungen zu pushen. Vielleicht wird AMD aus allen Rohren feuern ab September

CrazyIvan
2020-06-08, 18:30:20
Nach den letzten doch recht beeindruckenden Ergebnissen von TigerLake befürchte ich fast, dass sich das Zeitfenster von AMD im Mobile Bereich so langsam wieder schließt. Schade, dass man die Verfügbarkeit von Renoir aus welchen Gründen auch immer nicht besser in den Griff bekommen hat.

Piefkee
2020-06-08, 18:33:38
Nach den letzten doch recht beeindruckenden Ergebnissen von TigerLake befürchte ich fast, dass sich das Zeitfenster von AMD im Mobile Bereich so langsam wieder schließt. Schade, dass man die Verfügbarkeit von Renoir aus welchen Gründen auch immer nicht besser in den Griff bekommen hat.

Beeindruckend? Die GPU Performance ? Oder was meinst du generell ? Ich sehe immer noch 8C vs 4C. Und ob jetzt die die IGPU 5-10% schneller ist who cares? Zur CES kommt Cezane, das ist der Chip gegen den Intel antreten muss...

LasterCluster
2020-06-08, 18:34:44
Nach den letzten doch recht beeindruckenden Ergebnissen von TigerLake befürchte ich fast, dass sich das Zeitfenster von AMD im Mobile Bereich so langsam wieder schließt. Schade, dass man die Verfügbarkeit von Renoir aus welchen Gründen auch immer nicht besser in den Griff bekommen hat.

Das lässt sich durch Huawei doch ganz gut erklären. Bis September werden deren Aufträge noch mit Priorität abgearbeitet. Und Corona hat sicherlich auch nichts vereinfacht.

Blediator16
2020-06-08, 18:52:01
Nach den letzten doch recht beeindruckenden Ergebnissen von TigerLake befürchte ich fast, dass sich das Zeitfenster von AMD im Mobile Bereich so langsam wieder schließt. Schade, dass man die Verfügbarkeit von Renoir aus welchen Gründen auch immer nicht besser in den Griff bekommen hat.

Diese 3d Markt Ergebnisse kann man als best case für Intel werten. Für einen Riesen wie Intel überhaupt nicht beeindruckend. Sie müssten AMD eigentlich mit TGL komplett zerstören. Ob das so kommen wird, wird man sehen. Ich bezweifle es.

mironicus
2020-06-08, 19:00:12
Tigerlake hat doch schon jetzt keine Chance gegen Renoir. Und AMD legt bald mit 5 nm nach. Ein Renoir-Ableger in 5 nm könnte man schon im 5-7 Watt Bereich laufen lassen und man hätte 8/16 Kerne für passiv gekühlte Tablets oder andere Kleinstrechner. Dann wären sogar Windows-Rechner mit ARM-Prozessoren uninteressant, da man den normalen x64-Code weiter nutzen kann und hat trotzdem diese hohen Laufzeiten.

w0mbat
2020-06-08, 19:03:36
Nach den letzten doch recht beeindruckenden Ergebnissen von TigerLake befürchte ich fast, dass sich das Zeitfenster von AMD im Mobile Bereich so langsam wieder schließt. Schade, dass man die Verfügbarkeit von Renoir aus welchen Gründen auch immer nicht besser in den Griff bekommen hat.
Du meinst den 28W TGL der bei der IGP gegen einen 15W Renoir leicht vorne war?

Platos
2020-06-08, 21:15:25
Der letzte verlinkte Test war gleichstand zwischen dem 4800U und einem Tigerlake beider Grafik, wobei nicht bekannt ist, ob 15w oder 25w beim Tigerlake. Und der 4800U ist bis 25w.

Aber es sieht eher so aus, als wäre der Tigerlake 15, anhand des Physics-Wertes.

Piefkee
2020-06-08, 21:45:12
Der letzte verlinkte Test war gleichstand zwischen dem 4800U und einem Tigerlake beider Grafik, wobei nicht bekannt ist, ob 15w oder 25w beim Tigerlake. Und der 4800U ist bis 25w.

Aber es sieht eher so aus, als wäre der Tigerlake 15, anhand des Physics-Wertes.

Siehe @Rogame auf Twitter

Der Tigerlake war 28w:
Physics score : 11879 (99.68%)
Graphics score : 6912 (112.92%)

4800U 15W
Physics score : 11917
Graphics score : 6121

4800U 25W im Lenvo Ideapad (only DDR4 3200)

Physics score : 13028
Graphics score : 6092

Tigerlake wird in der Spitze GPU mäßig gleich sein, was ich aber in echten Games bezweifle. CPU mäßig hat er keine Chance gegen Renoir

Zossel
2020-06-08, 22:17:21
Tigerlake wird in der Spitze GPU mäßig gleich sein, was ich aber in echten Games bezweifle. CPU mäßig hat er keine Chance gegen Renoir

Intel hatte mit der besseren Fertigung bessere Produkte, warum sollte also AMD mit der aktuell besseren Fertigung keine besseren Produkte haben?

Allerdings ist das Featureset bei Intel schon immer schlechter gewesen und ist es immer noch.

Unicous
2020-06-08, 22:18:12
Da, es keinerlei Infos über die Konfiguration gibt kann man so gut wie nichts ableiten. Wie man sieht erreicht Renoir diese Werte mit einer TDP von 25W und 3200MHz RAM, man kann aber allgemein davon ausgehen, dass TGL LPDDR4 verwendet, afaik mag 3DMark Bandbreite, jegliche Vergleiche ohne zu wissen welche TDP konfiguriert wurde und welcher RAM sind müßig.

Darüber hinaus scheinen die Benchmarks immer mal wieder astronomische Taktraten auszuspucken, ich glaube ein Bench spuckte über 5 GHz aus.

Mir stellt sich die Frage warum Benchmarks gefühlt immer schlechter werden wenn es darum geht Konfigs auszulesen, oder ob die Tester aktiv versuchen die Konfig zu verschleiern?:uponder:

Platos
2020-06-09, 10:09:38
Siehe @Rogame auf Twitter

Der Tigerlake war 28w:
Physics score : 11879 (99.68%)
Graphics score : 6912 (112.92%)

4800U 15W
Physics score : 11917
Graphics score : 6121

4800U 25W im Lenvo Ideapad (only DDR4 3200)

Physics score : 13028
Graphics score : 6092

Tigerlake wird in der Spitze GPU mäßig gleich sein, was ich aber in echten Games bezweifle. CPU mäßig hat er keine Chance gegen Renoir

Was für ein Blödsinn redest du da? Das ist ja schon fast Faktenverdrehung, was du da sagst. Der Tigerlake erreicht hier einen um +12.9% besseren GPU Wert und der 25W Renoir einen um +9.7% besseren CPU Wert

Ein Plus von 12.9% wertest du also als "mäßig gleich" und bei AMD ist dann aber ein Plus von 9.7% also auf einmal so viel, dass der Tigerlake keine Chance hat? Wie lächerlich ist denn diese Aussage ? Grösste Fanboyaussage seit langem.

Zumal man nicht weiss, mit welchem RAM der Tigerlake lief und der Renoir gleich mit 3200MHz. Und bekannt ist die Konf. ja auch nicht, wie man sieht.

w0mbat
2020-06-09, 10:24:01
@Platos: Die GPU-Leistung hängt sehr stark am RAM, das hat weniger mit der eigentlichen integrierten GPU zu tun. Der 15W Renoir ist gleich schnell wie der 28W TGL beim CPU-Test und, wohl mit langsamerem RAM, etwas hinter TGL beim GPU-Test. Aber eben 15W vs 28W, das sind 87% mehr TDP. Das ist extrem viel.

HOT
2020-06-09, 11:05:18
Ist ja auch nett, dass Tiger Lake in der 3DMark toll aussieht. Aber in Spielen wird dann wieder sehr schnell sehr finster ggü. Vega, ich hab das so im Gefühl. Das ist in Realität wieder nicht mal ein Achtungserfolg.
Wenn das mal jemand testet in der Praxis und Tiger Lake ist dann immer noch vorne, dann bin ich beeindruckt, man hat es geschafft, in der GPU-IPC und Perf/W auf die letzte Generation aufzuschließen. :uclap:.
Und AMD bringt keine 5nm-APU. Selbst Rembrandt war bis jetzt in N6 geplant in 2022. Das könnte sich jetzt auf N5 geändert haben. Aber ne Vega-APU auf 5nm zu bringen ergibt mMn einfach keinen Sinn.
Cezanne ist nach wie vor N7P.

smalM
2020-06-10, 16:04:52
Zurück zur Chipfertigung:

TSMC soll einen N4 planen, ein Upgradepfad zum N5 – so wie bei N6 und N7 auch schon.
EETimes (https://www.eetimes.com/tsmc-discloses-secret-4nm-node/) meldet das Erscheinen 2023, allerdings auch 2022 für den N5P...

Platos
2020-06-10, 19:07:40
Und was ist dann 2021 ?

davidzo
2020-06-11, 12:13:28
Na N6 und N5. Ersteres für alles was HPC ist und hohe Volumen bei einigermaßem günstigen Preis hat, letzteres für all things mobile und max density.

HOT
2020-06-11, 13:29:10
Zurück zur Chipfertigung:

TSMC soll einen N4 planen, ein Upgradepfad zum N5 – so wie bei N6 und N7 auch schon.
EETimes (https://www.eetimes.com/tsmc-discloses-secret-4nm-node/) meldet das Erscheinen 2023, allerdings auch 2022 für den N5P...
Offenbar ist N5P nicht das gleiche wie N5+, welcher ja dieses Jahr schon starten soll. N4 ist halt wie N6, der kommt erst nach N3.

smalM
2020-06-15, 14:40:10
Ich kann mich nicht entsinnen je was anderes direkt von TSMC als N5 und N5P gesehen zu haben; es gibt keine extra Bezeichnung für Mobil oder HPC und N5P ist da schlicht der Nachfolger von N5.

Seltsam übrigens, daß von den üblichen Verdächtigen nichts zum N4 zu vernehmen ist, als wäre die Meldung nie rumgegangen...

HOT
2020-06-15, 14:59:45
AFAIK hat der TSMC-Mensch den Prozess auch jetzt erstmals erwähnt. Da gabs einfach nix zu vorher.

N5 und N5P als Nachfolger waren vorher schon bekannt, N5+ war aber neu und scheint einfach ein verbesserter N5 zu sein und wurde verwirrenderweise teilweise auch noch als N5P bezeichnet, wobei der echte N5P aber offenbar ein echter Nachfolger von N5 ist und nicht nur ne Verbesserung - also auch, aber weitergehend.

Linmoum
2020-06-22, 15:54:33
AMD scheint jetzt auf N5 bei GPUs setzen zu wollen, die Rede ist wohl von 20000 Wafern/Monat. Ob DigiTimes einfach CPUs mit GPUs verwechselt hat und AMD früher als ursprünglich geplant CDNA in N5 bringen will?

http://m.techweb.com.cn/article/2020-06-22/2794668.shtml

Berniyh
2020-06-22, 16:44:32
20000 Wafer pro Monat (nur) für CDNA erscheint etwas übertrieben? Bislang war der Absatz von AMD in dem Segment ja nicht sooooo wahnsinnig hoch?

HOT
2020-06-22, 16:56:22
AMD scheint jetzt auf N5 bei GPUs setzen zu wollen, die Rede ist wohl von 20000 Wafern/Monat. Ob DigiTimes einfach CPUs mit GPUs verwechselt hat und AMD früher als ursprünglich geplant CDNA in N5 bringen will?

http://m.techweb.com.cn/article/2020-06-22/2794668.shtml
Ich hatte ja den Verdacht, dass AMD noch einen RDNA2 einfach in N5+ noch nachschieben könnte als verzögerter Top-Dog. Das Projekt könnte man analog zu Fiji damals zu Jahresbeginn gestartet haben, als klar war, dass Huawei aus der Fertigung herausfallen könnte.

Tarkin
2020-06-22, 18:02:01
AMD scheint jetzt auf N5 bei GPUs setzen zu wollen, die Rede ist wohl von 20000 Wafern/Monat. Ob DigiTimes einfach CPUs mit GPUs verwechselt hat und AMD früher als ursprünglich geplant CDNA in N5 bringen will?

http://m.techweb.com.cn/article/2020-06-22/2794668.shtml

das wären Millionen Chips. 20t Wafer pro Monat wäre grob geschätzt das Doppelte was sie jetzt gerade an 7nm pro Monat benötigen.

Nur für GPUs? Boah, das wäre MASSIV. Ich glaube nicht mal nVidia würde diese Mengen 5nm Wafer benötigen.

Rechnen wir mal mit einer durchschnittlichen Chipfläche von 200qm pro GPU... das wären 15 Mio Chips pro Quartal (bei 250 Chips pro Wafer). Was kann man mit so einem Chip an Umsatz erzielen? 200-300 USD? (konservativ geschätzt) Das wären dann 3-4,5 Mrd Umsatz pro Quartal.

Wenn es CPU Chiplets sind ... 70qm Fläche ergibt 750 Chiplets pro Wafer... bei 150 USD Umsatz pro Chiplet wären das fast 7 MRD Umsatz pro Quartal - LOL!!!

Klingt etwas unrealistisch - es sei denn, sie bringen plötzlich einen Smartphone SOC LOL

Oder ist das vl. was Semi-Custom-technisches für Apple und/oder MS?

Die Menge an Chips und der dadurch erreichbare Umsatz wäre jedenfalls gigantisch für AMD Verhältnisse - ein Vielfaches von dem, was sie aktuell pro Quartal machen!

Zossel
2020-06-22, 18:14:40
Und Intel so: https://www.anandtech.com/show/15865/intel-to-use-nanowirenanoribbon-transistors-in-volume-in-five-years

amdfanuwe
2020-06-22, 18:24:29
20000 Wafer würde ich jetzt nicht überbewerten.
Es läuft grad bei AMD und damit es weiter läuft, müssen sie Technologisch an der Spitze dabei sein.
AMD wird sehen, dass sie frühest möglich ihr Portfolio auf 5nm umstellen, d.h.: die Produkte die grad in der Pipeline sind und ohne großen Aufwand, Verzögerung von den ursprünglich geplanten 7/6nm auf 5nm umgestell werden können, werden dann in 5nm kommen.
Server, GPU, Mobile APU brauchen den besten Technologienode, sonst kann man mit der Konkurrenz nicht mithalten bzw. Vorteile erlangen.
Hat man ja Jahrelang gesehen was passiert, wenn man fertigungstechnisch zurück liegt. Reicht dann nur für den Billigheimer mit wenig Gewinn.
Vielleicht wird aber auch nur was durcheinander gewürfelt in der Meldung.
Benötigt AMD nicht auch 20000 Wafer für die Konsolen? Sicherlich sind die nicht in 5nm.
Bleibt jedenfalls spannend, wann und was zuerst von AMD in 5nm kommt.

Edit:
@Zossel: Das meine ich. Intels Pechsträne hält nicht Ewig. Und Nvidia hat Zugriff auf die gleichen Prozesse wie AMD. Da kann sich AMD kein Zögern leisten wenn sie dabeibleiben wollen.

Unicous
2020-06-22, 18:39:24
@Zossel

Samsung will doch auch GAA ab 2021 nutzen und MBCFET ist auch schon auf der roadmap, iirc?:confused:

amdfanuwe
2020-06-22, 18:46:24
Und für TSMC gab es auch schon Aussagen zu GAA und AMD hat da auch eigene Patente.
Wird nach EUV das nächste große Rennen. Wer es zuerst ordentlich hinbekommt, wird damit gut verdienen.

Linmoum
2020-06-22, 22:30:31
Ergänzend noch zu N5 und den freigewordenen Kapazitäten durch Huawei:

Kung Ming-hsin, the new head of Taiwan’s economic planning agency, the National Development Council, said the United States was taking aim at a specific company, not Taiwan’s economic relations with China, the island’s largest trading partner.
[...]
“As for TSMC, although their orders no longer have Huawei, they’ve quickly been filled up, as other people really need them,” Kung said, without elaborating.
https://www.reuters.com/article/us-taiwan-economy-idUSKBN23T1E3

Hammer des Thor
2020-06-23, 12:06:26
In der aktuellen CT ist nen Artikel in dem steht, dass es massive Fortschritte bei Nanoröhren gibt. Das MIT-Foscherteam hat es geschafft in einer Chip-Fabrik nen 200mm Wafer mit Carbon-Nanoröhrchen-CPÜs herszustellen. Auch die Geschwindigkeit der Abschneidung der Nanoröhren hätten die von 48 Stunden auf 150 Sekunden verkürzt. Im letzten Satz wurde ein Projekt mit einen Chip angekündigt der die Perfomance eines State of the Art Chips um Grössenordnungen übertreffe.
Tja, wenn das in absehbare Zeit hinhaut, dann sollte nach dem 3nm Prozess gleich auf Nanoröhren, die laut dem Artikel auch 3D Chips von Anfang an ermöglicht, gewechselt werden.

Zossel
2020-06-23, 12:27:16
In der aktuellen CT ist nen Artikel in dem steht, dass es massive Fortschritte bei Nanoröhren gibt. Das MIT-Foscherteam hat es geschafft in einer Chip-Fabrik nen 200mm Wafer mit Carbon-Nanoröhrchen-CPÜs herszustellen. Auch die Geschwindigkeit der Abschneidung der Nanoröhren hätten die von 48 Stunden auf 150 Sekunden verkürzt. Im letzten Satz wurde ein Projekt mit einen Chip angekündigt der die Perfomance eines State of the Art Chips um Grössenordnungen übertreffe.
Tja, wenn das in absehbare Zeit hinhaut, dann sollte nach dem 3nm Prozess gleich auf Nanoröhren, die laut dem Artikel auch 3D Chips von Anfang an ermöglicht, gewechselt werden.

Und welche Transendichte hat das Ding?

Hammer des Thor
2020-06-23, 12:35:35
Und welche Transendichte hat das Ding?

Steht nicht drin, aber bekannt waren mal 1,4 nm Struktur oder so, ist ein kleiner Artikel. Kann man viel höher takten und dank 3D ist es auch nicht mehr so wichtig wenn man bedenkt welche Dichten 3D-NAND trotz viel grössere Strukturbreite gegen über 2D-NAND erreichen!Die Engergieeffizienz soll um bis zu dem Faktor 10 besser sein, wo nicht steht gegenüber welcher Silizium-Tech und ob sich das auf die Transistoren an sich bezieht oder die Gesamtperformance. Toll wäre nat wenn die 10 mal höher Takten könnten bei 10 man so wenig Verbrauch, aber das konnte ich leider nicht rauslesen!

Leider finde ich bei Google nichts Neues.

mboeller
2020-06-23, 12:56:54
https://www.heise.de/select/ct/2020/14/2015507123282980066

http://news.mit.edu/2020/carbon-nanotube-transistors-factory-0601

https://www.nature.com/articles/s41928-020-0419-7 (kostet leider)

https://singularityhub.com/2020/06/01/carbon-nanotube-transistors-may-soon-give-waning-moores-law-a-boost/

Masmiseim
2020-06-25, 12:28:25
NXP sichert sich auch schon 5nm kontingente für Automotive Anwendungen was sehr bemerkenswert ist, da hier bislang immer nur ältere Notes zum Einsatz kamen:
https://blog.nxp.com/automotive/nxp-brings-its-automotive-design-expertise-to-5nm-technology-we-are-in-it-to-win-it?elq_mid=8097&elq_cid=927165

smalM
2020-06-27, 22:05:06
Original: https://news.mydrivers.com/1/696/696592.htm

1. TSMC increased 5nm capacity at Fab18 to nearly 60K wpm, ~10% more than last month.

2. Qualcomm placed production orders for Snapdragon 875 and X60 modem last week, both using 5nm. Estimated volume ~6-10K wpm at the moment. Expected delivery around Sep.

3. AMD moved high-end GPU to 5nm. AMD in discussion with TSMC for planned production volume of >20K wpm.

via RetiredEngineer (https://twitter.com/chiakokhua/with_replies)

Wenn das alles so stimmt, wird TSMC Phase III wohl zügig in Produktion bringen.

Sunrise
2020-06-27, 22:59:10
2. Qualcomm placed production orders for Snapdragon 875 and X60 modem last week, both using 5nm. Estimated volume ~6-10K wpm at the moment. Expected delivery around Sep.
Laut Gerüchten soll Apple X55 überspringen und direkt X60 bei Qualcomm angefordert haben. Das klang einerseits sehr positiv, aber September klingt dann deutlich zu spät.

smalM
2020-06-28, 09:51:28
Als das X60 vorgestellt wurde, hieß es in Endgeräten 2021.
Den hier genannten September würde ich auch keineswegs mit der Massenverfügbarkeit gleichsetzen.

Complicated
2020-06-28, 13:10:39
Nur weil X60 angefordert wurde, heißt das doch nicht X55 würde übersprungen werden. Ist halt für den nächsten Produktcycle. Ist doch kein "entweder oder".

Linmoum
2020-07-23, 23:23:23
Ist ja auch hier passend:

• Alder Lake, 10nm-based mobile and desktop CPUs, positioned for 2H’21
• 7nm CPU products push out ~6 months ...~12-month delay of 7nm process yield
https://s21.q4cdn.com/600692695/files/doc_financials/2020/q2/Q2-2020_Earnings-Presentation.pdf

Das 10nm-Desaster scheint mit 7nm einen Nachfolger gefunden zu haben. Das ist erschreckend, wie hart Intel bei den Prozessen am failen ist. Wird noch übel werden, wenn TSMC das Tempo weitergeht. Und Nutznießer wird erneut AMD. Intel kann einem fast leidtun.

Blediator16
2020-07-23, 23:49:22
Sie wissen offensichtlich immer noch nicht, wann 7nm kommt und von 10nm könnte man 2h 2021 evtl. auf dem Desktop etwas sehen. Wird also nochmals verschoben :freak: Was ein Disaster.

Linmoum
2020-07-24, 01:00:25
7nm Client shipment Ende 22/Anfang 23 aus dem Call.

Cartmann hätte sich jetzt eingefroren um die ewig lange Wartezeit zu überbrücken.

Edit: Nochmal mit zusätzlicher Quelle
Intel now plans to make its first 7-nanometer chip shipments in late 2022 or early 2023, CEO Bob Swan said on a conference call with analysts on Thursday.
https://www.cnbc.com/2020/07/23/intel-intc-earnings-q2-2020.html

;D;D;D

Blediator16
2020-07-24, 01:26:46
Dass man Ponte Vecchio extern fertigen lässt bedeutet nur, dass sie ihre Versprechen nicht halten werden. Der Exascale Super Computer wird nicht fertig ohne TSMC ;D

Linmoum
2020-07-24, 02:39:31
Wobei selbst das nicht sicher ist, Intel spricht von Ende 21/Anfang 22 für Ponte Vecchio - und Auroro soll(te) 2021 fertig sein.

As an example, our data center GPU design, “Ponte Vecchio,” will now be released in late 2021 or early 2022 utilizing external and internal process technologies combined with our world-leading packaging technologies.
https://newsroom.intel.com/wp-content/uploads/sites/11/2020/07/ceo-remarks-earnings-call-2Q2020.pdf

Wie schlecht es um 7nm steht, sieht man aber auch hieran:
In addition, we expect to see initial production shipments of our first Intel-based 7nm data center CPU design in the first half of 2023.

"Initial production shipments" nicht vor H1 2023. TSMC ist nach eigenen Angaben on track für die Massenproduktion von 3nm in H2 2022...

rentex
2020-07-24, 05:34:25
Intel wird noch fabless...

Zossel
2020-07-24, 07:23:31
Wer steigt eigentlich noch freiwillig in einen Flieger von Boeing?

rentex
2020-07-24, 07:58:32
Bei Boeing kommt man u.U. nicht mehr an, bei Intel landet man wenigstens sicher und mit heiß gelaufenen Triebwerken.. ;-)

Sogar bei N-TV gibt es ne News zu Intels Problemen...

https://www.n-tv.de/wirtschaft/Neue-Technologie-macht-Intel-Probleme-article21930479.html

HOT
2020-07-24, 09:30:30
An den Quatsch, dass Intel 7nm schon Anfang 22 soweit hat, hat eh keiner mehr geglaubt, der sich dafür interessiert. Bin mal eher gespannt, ob das die letzte "Verschiebung" war.
Ich seh das auch so, Intel hat keine Wahl als fabless zu werden mittelfristig, den Rückstand zu Samsung/TSMC wird man nicht mehr aufholen. EUV verschlafen und das wars dann.


Waaaa bei dem n-tv-"Artikel" kriegt man ja das Gruseln. Ein wundervolles Beispiel wie schlecht die Massenmedien arbeiten.

Zossel
2020-07-24, 10:13:27
Waaaa bei dem n-tv-"Artikel" kriegt man ja das Gruseln. Ein wundervolles Beispiel wie schlecht die Massenmedien arbeiten.

Die Zielgruppe sind keine Nerds die sich mit der Fertigung von Halbleitern beschäftigen.

So ist das ziemlich billiges Bashing.

rentex
2020-07-24, 10:20:06
Next one...

https://winfuture.de/news,117245.html

Berniyh
2020-07-24, 10:36:09
Wahrscheinlich bringt Intel dann 2022 endlich mal neue 14 nm Prozessoren. :D

deLuxX`
2020-07-24, 11:18:59
Das Projekt „Node after 14 nm“ hat bestimmt schon so einige Projektleiter bei Intel verschlissen.😂

y33H@
2020-07-24, 12:09:34
Schon bei 14 nm dürfte es argen Verschleiß gegeben haben ...

mironicus
2020-07-24, 12:15:48
Jede Wette: Selbst 2022 wird es noch neue Gaminglaptops geben mit 14 nm-CPUs basierend auf Skylake zusammen mit den jeweiligen diskreten NVidia/AMD-GPUs in 8/7 nm.

mboeller
2020-07-24, 13:09:50
Jede Wette: Selbst 2022 wird es noch neue Gaminglaptops geben mit 14 nm-CPUs basierend auf Skylake zusammen mit den jeweiligen diskreten NVidia/AMD-GPUs in 8/7 nm.

eher 5nm

Platos
2020-07-24, 13:33:31
Jede Wette: Selbst 2022 wird es noch neue Gaminglaptops geben mit 14 nm-CPUs basierend auf Skylake zusammen mit den jeweiligen diskreten NVidia/AMD-GPUs in 8/7 nm.

Wohl kaum, wenn nächstes Jahr Rocket Lake kommt.

Blediator16
2020-07-24, 14:01:39
Eine CPU in homöopathischen Mengen wetten. Sie haben sich mit dem tot-optimieren von 14nm einen Bock geschossen.

Zossel
2020-07-24, 14:13:04
Jede Wette: Selbst 2022 wird es noch neue Gaminglaptops geben mit 14 nm-CPUs basierend auf Skylake zusammen mit den jeweiligen diskreten NVidia/AMD-GPUs in 8/7 nm.

Neue Gaminglaptops in 2022 mit 14nm@intel in 2022?
Meinst du wirklich das es sowas geben wird?

LadyWhirlwind
2020-07-24, 14:16:33
Möglich, wenn sie im neuen Prozess die Single-Core Taktraten nicht hoch genug kriegen und die IPC Verbesserungen das nicht kompensieren können.

Wobei an 2022 dann sicher solangsam immer mehr Spiele kommen sollten, die eher mehr Cores als hohen Takt brauchen.

Berniyh
2020-07-24, 14:40:38
Neue Gaminglaptops in 2022 mit 14nm@intel in 2022?
Meinst du wirklich das es sowas geben wird?
Nicht mal Intel selbst hätte wohl vor 2-3 Jahren gedacht, dass sie 2020 und 2021 noch immer neue 14nm Prozessoren als top-of-the-range Produkte vorstellen würden.
Insofern würde ich da bei Intel nix mehr ausschließen …

mironicus
2020-07-24, 15:03:40
Es gibt bis jetzt keine Alternative zu den Cometlake H-CPUs von Intel, vor allem mit 6 und 8 Kernen. Und in den teuersten Spiele-Laptops sind nur diese bis heute verbaut. Tiger Lake und Rocket Lake sind nicht als Ersatz dafür gedacht.

Und AMD fängt gerade erst langsam an sich vorzutasten. Die Spitzen Laptop-Reihen der Hersteller bleiben momentan noch Intel vorbehalten, und da sehe ich keine Änderung in den nächsten 1-2 Jahren.

HOT
2020-07-24, 15:26:39
Kommt ein bisschen auf Cezanne und Rembrandt an.

Zossel
2020-07-24, 15:47:37
TSMC will 2023 mit GAA loslegen: https://www.gizchina.com/2020/07/14/tsmc-2nm-soc-breakthrough-mass-production-in-2023/

smalM
2020-07-26, 17:57:25
@Zossel
"Chinese Gadget Reviews" – Schuster, bleib bei deinen Leisten...

AffenJack
2020-07-27, 14:56:13
Gemäß Bericht von chinatimes, Übersetzung von Retiredengineer auf Twitter, wird Intel ab nächsten Jahr gleich mal von 0 auf 100 ein fast so großer Kunde bei TSMC wie AMD:

https://twitter.com/chiakokhua/status/1287661653266272256/photo/1

AMD soll 200k Wafer für nächstes Jahr planen, während Intel sich gleich mal 180k Wafer gesichert hat.

HOT
2020-07-27, 14:58:32
Da sind dann aber auch Prozessoren bei. Nur für Grafik ist das zu viel.

Blediator16
2020-07-27, 15:00:31
Glaube nicht dran. Und wenn, dann sind Intels Foundrys Geschichte.

smalM
2020-07-27, 15:31:56
Gemäß Bericht von chinatimes, Übersetzung von Retiredengineer auf Twitter

Und er fügt hinzu:
"Actually, I don't really believe Intel will outsource 10nm CPUs to TSMC. Taking this with a bowl of salt."

Ich hätte da so ein Eimerchen mit Steinsalz vom vorletzten Winter...

PS:
Es könnte sich um eine Rahmenvereinbarung handeln und das hat dann jemand mitgekriegt, der schlicht nicht weiß, was eine Rahmenvereinbarung ist.

Zossel
2020-07-27, 16:20:02
Es könnte sich um eine Rahmenvereinbarung handeln und das hat dann jemand mitgekriegt, der schlicht nicht weiß, was eine Rahmenvereinbarung ist.

Klär mich auf was das in diesem Fall bedeuten könnte.

Complicated
2020-07-27, 17:13:57
https://www.forum-3dcenter.org/vbulletin/showthread.php?p=12375001#post12375001
The industry expects monthly capacity to reach 110,000 wafers in 1H'2020. The top 5 customers by order proportion are: Apple, HiSilicon, Qualcomm, AMD, and Mediatek. Except for Mediatek, order share is split at roughly 20% each, depending on seasonality. Mediatek's share is around 13%.
Und da sollen nun in H2 +30.000 Wafer dazu kommen in 7nm/+ auf insgesamt 140.000 Outpput Ende des Jahres.
AMD hat gerade 30.000 Wafer dazu gebucht und liegt ca. bei 50.000 Wafern/mon. bei zuvr ca. 20.000.
Das soll nächstes Jahr um 300% steigen?
Und TSMC soll zusätzlich in 6nm 180.000 Wafer/mon. bereitstellen für Intel?
Diese Größenordnung könnte ich mir nur vorstellen, wenn Intel seine Fabs dafür zur Verfügung stellt - die kommenden CPUs/GPUs würden laut dem Tweet ja komplett bei TSMC gefertigt, und die EUV-Belichter von Intel würden keinen Output mehr haben. Kauft/Leiht TSMC die Maschinen um das möglich zu machen?

Also die Waferzahlen sind etwas suspekt oder müssten bedeuten TSMC kauft Intels Fabs um diesen Output zu ermöglichen.

Blediator16
2020-07-27, 17:23:00
TSMC wäre dumm, wenn sie die Foundrys kaufen würden. Die müssten sie maximal als Geschenk nehmen.

Complicated
2020-07-27, 17:45:39
Naja die EUV-Belichter würden Sie auf einen Schlag verdoppeln, da Intel und TSMC ungefähr gleich viel geliefert bekommen haben von ASML. Und TSMC bekommt den Kunden gleich mit geliefert, der alle Kapazitäten abnimmt. Wie Sie sich da finanziell einigen können beinhaltet viele Möglichkeiten und auch den Umfang von IP oder laufenden Kosten. Aber IBM hat GF für Ihre FAbs inkl. 7nm IP noch 2 Mrd. dazu geschenkt. GF hat kurz darauf 7nm abgekündigt. Von daher dürfte hier die Interessenlage anders sein ebenso wie der unternehmerisch mögliche Zuwachs für TSMC.

Zossel
2020-07-27, 18:06:46
TSMC wäre dumm, wenn sie die Foundrys kaufen würden. Die müssten sie maximal als Geschenk nehmen.

Selbst wenn kannste das komplett knicken weil Donald dagegen sein wird.

LadyWhirlwind
2020-07-27, 18:09:39
Oder Intel lizenziert einfach die Technik und rüstet die eigenen Fabs um.

Blediator16
2020-07-27, 18:12:37
TSMC hat es nicht nötig an Intel zu lizenzieren.

Complicated
2020-07-27, 18:28:32
Selbst wenn kannste das komplett knicken weil Donald dagegen sein wird.
Donald hat den Deal eingefädelt, dass TSMC direkt in Nachbarschaft von Intels 7nm Fab 42 eine 5nm Fab errichtet:
https://www.heise.de/hintergrund/Eine-neue-US-Computerchip-Fabrik-ist-nur-vermeintlich-ein-Gewinn-fuer-Trump-4726189.html
Am 15. Mai gab Taiwan Semiconductor Manufacturing Co. (TSMC), der weltweit größte Auftrags-Chiphersteller, bekannt, dass er in Arizona ein 12-Milliarden-Dollar-Werk errichten wird. Das voraussichtlich 2024 öffnende Werk soll 1.600 Mitarbeiter beschäftigen und indirekt Tausende weitere Arbeitsplätze schaffen.

Edit: Intel Roadmap (Diese stammt von Dez 2019) ist aktualisiert Ende 2022 7nm-> 7nm+ -> 7nm++ - da ist die 5nm Fab fertig 2024

https://images.anandtech.com/doci/15217/IntelRoadmapWM.jpg

Jetzt weiss man wohl auch warum alle Prozesse mit Portierung angekündigt wurden. Anstatt das Design zurück zu portieren kann man es auch auf TSMCs portieren, falls nötig.

Zossel
2020-07-27, 19:16:45
Donald hat den Deal eingefädelt, dass TSMC direkt in Nachbarschaft von Intels 7nm Fab 42 eine 5nm Fab errichtet:
https://www.heise.de/hintergrund/Eine-neue-US-Computerchip-Fabrik-ist-nur-vermeintlich-ein-Gewinn-fuer-Trump-4726189.html

Donald redet viel wenn der Tag lang ist und von seinen tollen Deals bleibt am Ende des Tages auch nicht viel übrig. Ansonsten war der Bezug das Intel seine Fabs an TSMC verhökert und nicht das TSMC Fabs in den USA baut.

Bleibt am Ende die Frage was besser für die Shareholder von TSMC ist:

- Intel weiter mit AMD zusammen versuchen Sturmreif zu schießen?
- Oder mit Intel zusammenarbeiten?

Jetzt weiss man wohl auch warum alle Prozesse mit Portierung angekündigt wurden. Anstatt das Design zurück zu portieren kann man es auch auf TSMCs portieren, falls nötig.

Kostet alles Kohle und mindert das Shareholdervalue.

Platos
2020-07-27, 19:23:22
D.h die Verschiebung ist jetzt theoretisch bis 1 Jahr möglich, ohne dass sie es erneut verschieben müssten (denn die eigentliche Verschiebung wahr ja ein halbes Jahr). Aber wenn die Roadmap nun ein Jahr verschoben ist, dann können sie es gleich ein Jahr später bringen, ohne offiziell "vershcieben" zu müssen.

Aber das bedeutet dann höchst wahrscheinlich 2022 7nm Laptop-CPUs und 2023 7nm Desktop CPUs. Mit viel Glück Anfang 2023. TSMC wird aber in der 2. Hälfte von 2022 mit 3nm in die Massenproduktion gehen. Damit sollten Q2-Q4 2023 GPUs/CPUs möglich sein.

Da 5nm erst 2024 kommt (und somit erst 2025 im Desktop ankommt), wird also Intel vermutlich ihren 7nm Prozess gegen TSMC 3nm Prozess antreten lassen.

Ich werfe mal in den Raum, dass Intel die nächsten 5 Jahre sicherlich Probleme haben wird, mit TSMC mitzuhalten.

Unicous
2020-07-27, 19:43:27
TSMC hat angeküdigt, dass sie die Absicht haben im Jahre 2021 eine Fabrik auf amerikanischem Boden zu errichten. Das bedeutet nicht, dass das auch geschieht.

Intel hat auch die Absicht eine neue Fab zu bauen.

Das bedeutet aber nicht, dass diese dann auch gebaut werden.:wink: Ich würde die Wahl abwarten und generell das Jahr 2021.:wink:

stinki
2020-07-27, 21:29:49
Ich denke das sind 180k Wafers für das Jahr 2021. Das wären 15k pro Monat. Hört sich viel an, aber Intel braucht ja auch vier große Chips für ihren top dog.

Complicated
2020-07-27, 21:42:30
AMD nimmt in 2H/2020 50.000 Wafer im Monat ab - also das passt auch auf diese Weise nicht wirklich, sollten da CPUs gefertigt werden und nicht nur GPU in 2021. Denn die Angabe für AMD würde eine 4-fach Wafermenge bedeuten mit 200.000 im Monat oder nur noch 1/3 wenn es die Zahl für das ganze Jahr 2021 ist. Beides halte ich für wenig realistisch.

stinki
2020-07-27, 22:13:11
Das werden nächstes Jahr nur Grafkchips sein. Vielleicht sind es auch nur insgesamt 180k initial für Grafikchips und wenn Intel mehr braucht bestellen sie in 2022 nach. An high-end CPUs von TSMC für Intel glaube ich erst wenn ich sie sehe. Das passiert nur, wenn sie ihren 7nm Prozess für Ende 22 / Anfang 23 nicht ans Laufen bekommen und dann für 2023 ohne Chips dastehen würden. Die Entscheidung muss Intel spätestens Mitte nächsten Jahres fällen. Sie haben also noch ca. 12 Monate die 7nm Fertigung auf ansprechenden Yield zu bringen.
Aber die Vorgespräche und Vorbereitungen dafür laufen im Hintergrund bestimmt schon. Intel will bestimmt in 23 nicht noch mal so dastehen wie momentan.

Brillus
2020-07-27, 22:13:40
TSMC hat angeküdigt, dass sie die Absicht haben im Jahre 2021 eine Fabrik auf amerikanischem Boden zu errichten. Das bedeutet nicht, dass das auch geschieht.

Intel hat auch die Absicht eine neue Fab zu bauen.

Das bedeutet aber nicht, dass diese dann auch gebaut werden.:wink: Ich würde die Wahl abwarten und generell das Jahr 2021.:wink:

Wobei es dabei auch um Chips für Militär und so ging. Da hängt gut Umsatz dran.

Das ist was anderes als Foxcoms wir bauen eine Frima in USA für Apple.

Daher denk ich schon das die kommen wird.

Unicous
2020-07-27, 22:36:07
Und dem Militär ist es seit Jahren egal, wo die Chips produziert werden, worauf willst du hinaus?

Eine Fab in den USA zu bauen ist scheißteuer, deswegen macht es kaum jemand ohne fette Subventionen, Steuernachlässe und sonstige Vergünstigungen um das Werk einigermaßen profitabel zu machen. Die Foxconn-Fabrik die Trump voller Stolz mit eigenen, kleinen Händen nach Wisconson gebracht hat? Da wird so gut wie nichts produziert (es gibt keine Hinweise darauf, dass da irgendwas produziert wird), der Großteil der Fabrik steht leer und sie haben es nicht einmal geschafft die geforderten 260 Stellen zu schaffen um die daran gebundenen Steuervergünstigungen zu bekommen.:freak:
Einer der Gründe ist: sie finden nicht genug Fachpersonal.;D

Es sollen übrigens mal 13000 Beschäftigte sein.:freak:

Und wie soll es auch anders sein, das Ganze wird natürlich untersucht:

https://eu.jsonline.com/story/news/local/milwaukee/2020/07/24/wedc-evaluating-audit-foxconn-judgment-tax-credits-come-later-year/5497332002/


Ob also TSMC überhaupt einen einzigen Spatenstich ansetzt, ist mMn äußerst fraglich. Ob sie darüber hinaus eine voll funktionsfähige Fabrik in den USA bauen und genügend qualifiziertes Personal finden... ich glaube nicht, Tim.:wink:

Piefkee
2020-07-28, 08:12:57
https://www.digitimes.com/news/a20200727PD208.html

- der Outsource Auftrag von Intel zu TSMC ist nur 1x dings, keine langfristiges investment
- TSMC baut keine zusätzliche Kapazität für Intel
- TSMC sieht dem ganzen sehr skeptisch an

--> Also doch nicht das große CPU outsorcing. Wahrscheinlich ist das wie bereits mehrfach spekuliert, Intel Grafik die ja schon lange spekuliert wurde auf eine N7 Prozess von TSMC setzt, weil sich 10nm dafür nicht eignet und 7nm nicht fertig ist.

HOT
2020-07-28, 08:24:49
Nicht TSMC würde Intel Fabs kaufen, Intel wird auch nicht alle Fabs verkaufen. Man wird eher reine Produktionsstandorte wie Costa Rica oder Irland verkaufen und die Käufer werden eher Samsung und GloFo sein. Vielleicht wird man auch das Ein oder Andere veraltete stilllegen.

Wie Schon gesagt wären 180k Wafer zuviel für Grafik, mehr als Nische ist da nicht realistisch. Ich hab ja den Verdacht, dass Sapphire Rapids N7 ist.

Wörns
2020-07-28, 13:42:38
Wenn man die angegebenen Waferkapazitäten in 8''-Äquivalenten rechnet, und das ist üblich in der Branche, dann geht AMD nächstes Jahr auf knapp 90000 12'' Wafer.
Das könnte m.E. schon eher hinkommen.
MfG

Complicated
2020-07-28, 14:22:09
Das könnte eine Auflösung sein.

stinki
2020-07-29, 16:57:56
Charlie meint wohl die 180k N6 Wafer wären für "Granite Rapids" oder eine andere CPU (kann ich leider aus dem Text und Tags nur raten da hinter Paywall :-).
https://semiaccurate.com/2020/07/28/what-is-intel-making-at-tsmc/
Aber "Ponte Vecchio" in N5 scheint sich zu verdichten.
https://wccftech.com/exclusive-intel-ponte-vecchio-gpu-not-on-tsmc-6nm/

Nuvirus
2020-07-29, 20:44:12
Könnte Intel nicht mit TSMC zusammenarbeiten und dann die eigenen (Intel) Fabriken langfristig als zusätzliche Kapazität nutzen?

Blediator16
2020-07-29, 20:48:05
Intel ist wie ein untreuer Partner. Sobald es ihm besser geht haut er ab. Das beste ist, wenn TSMC seine fabless Kunden an sich bindet mit den besten Fertigungstechnologien. Sie brauchen Intel nicht.

edit

Complicated
2020-07-29, 21:08:16
Das liest sich richtig wenn das erste Wort "Intel" ist. Ansonsten recht schizophren ;)

Blediator16
2020-07-29, 22:11:31
Natürlich :D

LasterCluster
2020-07-30, 11:28:47
Auch sehr interessant:


[Intels'] 10nm is still not viable for a number of reason, but that is a story for another article.


Tiger Lake wieder nur in klienen Dosen und dazu Rocket Lake-U/Y zur Verstärkung wie es frühere Roadmaps auch schon nahe gelegt haben. Ich frage mich ob bis Alder Lake alle Probleme beseitigt sind

Complicated
2020-08-04, 16:51:33
https://twitter.com/BitsAndChipsEng/status/1290358419371839488
[RUMOR] AMD is testing a Die which is about 80 mm2 (Zen4?) with TSMC N5. Good yields, ATM. No more info.

basix
2020-08-04, 18:08:18
Denkbar, dass es Zen 4 ist. Würde von der Grösse her passen. Könnte aber auch ein CDNA oder RDNA Chiplet sein (gibt gerade Gerüchte dazu)

AffenJack
2020-08-04, 18:21:41
Ich glaube nicht an GPU, dafür ist das zu klein. Als allererstes müsste die Custom-CPU für Frontier kommen, daher tippe ich darauf.

rentex
2020-08-04, 18:57:25
Auch sehr interessant:



Tiger Lake wieder nur in klienen Dosen und dazu Rocket Lake-U/Y zur Verstärkung wie es frühere Roadmaps auch schon nahe gelegt haben. Ich frage mich ob bis Alder Lake alle Probleme beseitigt sind

Immer noch nicht?

Leonidas
2020-08-12, 09:11:05
Samsung Roadmap

https://pbs.twimg.com/media/EfEqY6UWoAAT_NG.jpg

Complicated
2020-08-12, 09:19:49
Hmmm...Nur ein Technologiebaum. Für eine Roadmap fehlen zumindest rudimentäre Zeitangaben wann diese Prozesse verfügbar sind. Waren da Jahresangabe in einer zusätzlichen Folie?

HOT
2020-08-12, 11:19:12
2015 - 2017 - 2020 - 2022/3, das kann man sich dazu denken.

Leonidas
2020-08-12, 11:56:04
Sieht nicht so aus, als wollte Samsung wirklich etwas zu Terminen sagen.

Berniyh
2020-08-12, 12:54:09
Sieht nicht so aus, als wollte Samsung wirklich etwas zu Terminen sagen.
Lernen aus Intels Fehlern? ;)

Complicated
2020-08-12, 12:59:45
2015 - 2017 - 2020 - 2022/3, das kann man sich dazu denken.Um dann falsche Spekulationen auf dieser Annahme zu machen? Ich würde da lieber eine echte Quelle haben.

HOT
2020-08-12, 13:02:52
Klar da muss man weiser Prophet für sein um zu erkennen, wie die Zeiträume sind ;D.
Mal im Ernst, Samsung hat GAAF für 22 angekündigt, dann dürften die 23 in Produktion gehen frühestens. Ist doch alles super nachvollziehbar.

Piefkee
2020-08-12, 13:04:35
Um dann falsche Spekulationen auf dieser Annahme zu machen? Ich würde da lieber eine echte Quelle haben.


Die gepostete Roadmap ist schon ältern vom 19.Oktober 2019.
https://fuse.wikichip.org/news/2823/samsung-5-nm-and-4-nm-update/

Zu Terminen gibts da nicht viel.
Samsung’s last FinFET node will be the 4LPE node.[...]Planned for around 2021, this will be the least dense process compared to both TSMC N5 and Intel’s 7 nm nodes.

Aber nur mal so eine Hausnummer wo Samsung bei 5N(4LP) im Vergleich zu TSMC N5 steht.
Samsung 4LP = 137 MTr/mm²
TSMC N5 = 171 MTr/mm²

Samsung ist mindestens 1 Jahr hinter TSMC. Vom Yield des EUV Prozesses sind es Meilen. Grüchte sagen ja, dass der Yield so schlecht ist das man 7nm Samsung an keine Kunden verkaufen kann...

Complicated
2020-08-12, 14:25:55
Klar da muss man weiser Prophet für sein um zu erkennen, wie die Zeiträume sind ;D.
Mal im Ernst, Samsung hat GAAF für 22 angekündigt, dann dürften die 23 in Produktion gehen frühestens. Ist doch alles super nachvollziehbar.
Klar wenn du jetzt einen Link zu der Ankündigung geliefert hättest, wäre das doch genau die Quelle die ich nicht kenne, oder nicht? Also wo ist die Ankündigung für mich nachzulesen?

ChaosTM
2020-08-12, 14:31:06
Chips mit Leiterbahnen fertigen, die nur mehr ein paar Atom breit sind, stellt sich als schwer heraus. Wer hätte das gedacht.
Ich hoffe Samsung bleibt dran und Intel holt wieder auf..

stinki
2020-08-12, 14:54:50
Klar wenn du jetzt einen Link zu der Ankündigung geliefert hättest, wäre das doch genau die Quelle die ich nicht kenne, oder nicht? Also wo ist die Ankündigung für mich nachzulesen?
Ich glaube eine offizielle Ankündigung von Samsung zu den genauen Terminen der 3GAAE/3GAAP bzw. 3GAE/3GAP bzw. MBCFET Fertigung gibt es nicht. Das sind alles Vermutungen auf Grund der Roadmaps der Samsung Foundry Forums 2018/2019.
Es wird für 21/22 mit Risk- und 22/23 mit Massenfertigung gerechnet. Aber ob Samsung dieses Timing wirklich wird halten können, als Industry-First, wird man abwarten müssen.

Leonidas
2020-08-12, 15:04:51
Entscheidender Punkt dieser Roadmap für mich ist, das 5nm bei Samsung kein eigener Node ist, sondern nur eine Verbesserung von 7nm. Samsung wird ergo erst richtig wieder bei 3nm angreifen - könnte dort aber vielleicht einen zeitlichen Vorsprung erzielen oder wenigstens nicht später als TSMC antreten.

Piefkee
2020-08-12, 15:11:49
Entscheidender Punkt dieser Roadmap für mich ist, das 5nm bei Samsung kein eigener Node ist, sondern nur eine Verbesserung von 7nm. Samsung wird ergo erst richtig wieder bei 3nm angreifen - könnte dort aber vielleicht einen zeitlichen Vorsprung erzielen oder wenigstens nicht später als TSMC antreten.

Samsung ergo keine wirklich aggressive Roadmap, weil mehr oder weniger alles auf 7nm basiert. Risiko ist natürlich GAA bei 3nm von Samsung. TSMC macht N3 noch mit FinFet. Entweder Samsung schafft GAA "First" und es läuft oder man fällt völlig auf die Schnauze, sowie bei 7LPP (EUV) ;(

stinki
2020-08-12, 15:14:41
Samsung geht mit 3GAE all-in. Entweder bekommen sie es rechtzeitig hin und sind dann mit N3 von TSMC (das noch Fin-Fet ist) gleichauf, oder sie liegen ohne echten 5nm (N5) Prozess ähnlich abgeschlagen wie Intel mit ihrem verschobenem Prozess erheblich zurück.

Edit:
Ich hoffe nur, dass N3 von TSMC als letzter FinFet Prozess nicht so etwas wie damals N20 als letzter Planar Prozess wird...

Piefkee
2020-08-12, 15:20:57
Ich hoffe Samsung fällt nicht noch weiter zurück. Wäre schade ein TSMC Monopol auf Leading Edge Prozess zu haben...

stinki
2020-08-12, 15:29:11
Wenigstens zwei einigermaßen gleichwertige Foundries mit Leading Edge Prozessen wäre für die Wirtschaft als ganzes schon sehr wünschenswert...ansonsten bekommt TSMC auch Probleme alle Kunden einigermaßen gleichzeitig zu versorgen, das wird dann immer ein Hauen und Stechen um die Kapazitäten geben und noch mehr gestaggerte Launches.

Complicated
2020-08-12, 16:15:16
Und da Samsung die selben Probleme bei EUV hat wie Intel ist es äußerst unwahrscheinlich, dass da noch Zeitpunkte aus 2018/2019 relevant sind oder stimmen.

Sunrise
2020-08-12, 17:31:33
Samsung wird ergo erst richtig wieder bei 3nm angreifen - könnte dort aber vielleicht einen zeitlichen Vorsprung erzielen oder wenigstens nicht später als TSMC antreten.
Das hatten wir ja schon öfter durch hier, das ist IMHO reines Wunschdenken, denn TSMC hat Samsung bisher regelmäßig gezeigt, wie man nicht nur schneller dran ist, sondern auch wohl deutlich bessere Yields, Dichte und Performance herausbekommt. Seit Apples letztem Engagement für ihre SoCs wurde es da relativ schnell still.

TSMC geht dafür kleinere aber sichere Schritte, aufbauend auf ihrer perfekten Zeitplanung, holt sich dann Kunde nach Kunde und kann direkt reinvestieren.

Bei Samsung hat man das Gefühl es laufen nur eigene mobile SoCs durch.

Dass NV 8nm genommen hat, spricht ja schon Bände, da geht es wohl hauptsächlich um Kapazitätsengpässe bei TSMC und die billigere Fertigung, da Samsung aggressiver auftreten muss um sich Kunden zu sichern. Nur spielt da eben TSMC nicht mit, bei dem Preisdumping (nachvollziehbar).

Kürzlich ist ja auch Qualcomm wieder zu TSMC, weil Samsung es nicht gebacken bekommt, fortschrittliche SoCs mit gangbarem Yield zu fertigen.

y33H@
2020-08-12, 17:47:31
Zumindest 5 nm läuft schon und Volume soll in H2/2020 gesteigert werden.

https://www.samsungfoundry.com/foundry/homepage/anonymous/homVoiceView.do?_mainLayOut=homepageLayout&menuIndex=0504&blogId=280

Complicated
2020-08-12, 18:06:00
Du meinst volume production startet in 2H/2020. - Alles gut. Hatte mich selber vertan Q vs H 2:)

y33H@
2020-08-12, 18:34:13
Start von Mass Production in Q2 und Ramp Up in H2.

Piefkee
2020-08-13, 07:39:32
Zumindest 5 nm läuft schon und Volume soll in H2/2020 gesteigert werden.

https://www.samsungfoundry.com/foundry/homepage/anonymous/homVoiceView.do?_mainLayOut=homepageLayout&menuIndex=0504&blogId=280

Ja natürlich, Intel hat auch gesagt das 2017 10nm in Volume Produziert wird.
Gerüchte um Weihnachten meinten das der 7nmLP (EUV) von Samsung einen geringernen Yield als 30% für die Hauseigenen Mobile Chips. Falls es jemand interressiert kann ich später mal ein paar Infos zu den Samsung EUV Problemen raussuchen. Besonders Interessant war der Zusammenhang mit IBM & Samsung. Der 7nm EUV Prozess von Samsung wurde ja mehr oder weniger von IBM entwicklet und dann zu Samsung transfiert, die dann Yield für die Serie verbessern sollte. Samsung hat aber durch erhöhung der EUV Dosis genau das Gegenteil geschafft.

Complicated
2020-08-13, 09:19:30
Die EUV 7nm hat doch GF mit 2 Mrd. und den Fabs von IBM bekommen um es dann direkt einzustampfen. Samsung war ja in dieser Allianz mit drin und musste dann am Ende alleine an den Problemen arbeiten nach IBM Ausstieg und GFs Investitionsstopp für EUV.

Die Details zu Samsung würden mich sehr interessieren.

stinki
2020-08-13, 09:23:17
Zumindest 5 nm läuft schon und Volume soll in H2/2020 gesteigert werden.

https://www.samsungfoundry.com/foundry/homepage/anonymous/homVoiceView.do?_mainLayOut=homepageLayout&menuIndex=0504&blogId=280
Interessant, dass Samsung jetzt einen zweiten "4nm" Prozess in der Mitteilung erwähnt (4LPP/4LPU???). Aber nichts neues über 3GAE. Mal schauen wann sie etwas mehr dazu sagen.

Edit:
Samsung versucht sich scheinbar langsam Richtung TSMC N5 zu robben ... mal schauen wie nah sie rankommen ...

Ravenhearth
2020-08-13, 12:56:32
Das gehört vielleicht auch hier rein:

Intel Tiger Lake features 10nm SuperFin architecture
https://videocardz.com/newz/intel-tiger-lake-features-10nm-superfin-architecture

The most significant improvement, however, is hidden in a fabrication node itself. Intel is calling it the 10nm SuperFin architecture because it features a redesigned transistor (SuperFin) and capacitor design (Super MIM). According to people familiar with the matter, this intranode architecture will provide a performance uplift comparable to a full-node transition. A redefined FinFET will provide additional gate pitch (higher drive current), improved gate process (higher channel mobility), and enhanced expiation source/drain (lower resistance). Additionally, 10nm SuperFin architecture will benefit from the introduction of Super MIM capacitor, delivering 5x the increase in MIM (metal-insulator-metal) capacitance.


Intel ex-employee reveals insider details on company policies up to the 7 nm delays
https://www.notebookcheck.net/Intel-ex-employee-reveals-insider-details-on-company-policies-up-to-the-7-nm-delays.484353.0.html

About a year ago, Intel tried to plan for the 7 nm transition and placed Chia-Hong in charge. However, because of all the problems with the 10 nm process, Intel decided to relax things for the 7 nm node, even though the new process would require the use of the revolutionary gate-all-around (GAA)FET manufacturing process. Intel was warned by TSMC and Samsung that the GAA-FET technique is too challenging to implement at this point in time, but Intel’s pride and persistence led it to stubbornly try and tackle the GAA-FET problem, until it finally conceded this July. The initial 7 nm designs now need to be further simplified and Intel is trying to cut a deal with TSMC.

Nightspider
2020-08-13, 13:06:26
Gabs hier schon eine Diskussion zu dem custom 5nm Prozess von TSMC für AMD, von dem ich gestern irgendwo gelesen habe?

Wenn ja: Könnte das aus dem Absprung von Huawei und der direkten Kooperation von TSMC und AMD entstanden sein um ein Design zu beschleunigen um die 5nm Kapazitäten schneller zu nutzen, die sonst brach liegen würden?

Huaweis Kirin 1000 in 5nm läuft ja offenbar eh schon vom Band aber neuere Bestellungen konnte Huawei eben nicht mehr aufgeben.
Zumindest soll wohl das Mate 40 Pro in 2 Monaten mit dem 5nm SoC verkauft werden, dann müsste die Produktion jetzt ja schon (eine Weile) rollen.

mboeller
2020-08-13, 13:54:59
Das gehört vielleicht auch hier rein:

Intel Tiger Lake features 10nm SuperFin architecture


"alt"

Das wurde schon 2017 od. 2018 gezeigt:
https://fuse.wikichip.org/news/525/iedm-2017-isscc-2018-intels-10nm-switching-to-cobalt-interconnects/

Seite 3 ist die wichtige bzgl. der Finhöhe:
https://fuse.wikichip.org/news/525/iedm-2017-isscc-2018-intels-10nm-switching-to-cobalt-interconnects/3/

Ravenhearth
2020-08-13, 15:11:06
"alt"

Das wurde schon 2017 od. 2018 gezeigt:
https://fuse.wikichip.org/news/525/iedm-2017-isscc-2018-intels-10nm-switching-to-cobalt-interconnects/

Seite 3 ist die wichtige bzgl. der Finhöhe:
https://fuse.wikichip.org/news/525/iedm-2017-isscc-2018-intels-10nm-switching-to-cobalt-interconnects/3/
Wenn ich das richtig verstehe, bezogen sich diese Präsentationen auf Intels ursprüngliche 10nm-Fertigung, SuperFin ist jedoch neu und erst ab Tiger Lake dabei. Das ist also keineswegs alt:

Intel-Fertigung in 10 nm: SuperFin und Enhanced SuperFin löst Plus Plus Plus ab
https://www.computerbase.de/2020-08/intel-10-nm-superfin-enhanced/

dildo4u
2020-08-13, 15:19:10
Intel bestätigt XE Gameing GPU kommen nicht in 10nm Super Fin.

https://youtu.be/5AfBrkmM2do?t=751

mboeller
2020-08-13, 15:23:45
Intel-Fertigung in 10 nm: SuperFin und Enhanced SuperFin löst Plus Plus Plus ab

wohl eher alter Wein in neuen Schläuchen:


The SuperFin technology includes a new high performance transistor methodology for the critical paths of Intel’s design, and an improved metal stack which uses novel materials in the latest update to Intel’s FinFET process technology. This includes evolutionary changes to achieve the required performance characteristics that perhaps should have been part of Intel’s 10nm process from the beginning.


https://www.anandtech.com/show/15971/intels-11th-gen-core-tiger-lake-soc-detailed-superfin-willow-cove-and-xelp

Laut anandtech anscheinend nur ein neuer Name für den 10nm+ Prozess.

Ravenhearth
2020-08-13, 16:00:13
Ja, aber die Details/Informationen wie die Verbesserungen durch 10nm+ bzw. SuperFin genau erzielt wurden, sind ja neu. :)