PDA

Archiv verlassen und diese Seite im Standarddesign anzeigen : Chipfertigung: 10 FF, EUV, 7 nm, 5 nm, 3 nm, GAF, ...


Seiten : 1 2 3 4 5 6 7 8 9 10 11 12 13 14 [15]

Voodoo6000
2023-09-08, 12:10:12
PS: ich sagte nicht, dass TSMC keine erhält... nur nicht für deren Hauptstandort in Taiwan, sondern für neue Fabs ausserhalb.
Ich sehe keinen ernsthaften Grund warum Taiwan keine High-NA-EUV Maschinen bekommen sollte. Der Gegner der USA ist China und nicht Taiwan/TSMC. TSMC wird auch zukünftig die größten und modernsten Fabs in Taiwan bauen. Eine entsprechende Fabrik rechtzeitig außerhalb Taiwans zu Bauen wird auch nicht mehr möglich sein.
Die USA pushen ziemlich offensichtlich Intel und BigBlue... sieht das keiner?
Was völlig normal ist. Wir fördern auch den Bau von Fabs in Europa massive. Europa und die USA möchte nicht völlig abhängig von Taiwan sein, falls es wirklich zum Krieg kommt.

Tobalt
2023-09-08, 13:59:23
Tsmc hat ja auch letztens noch mal klar gemacht, dass sie ihren Standort und Belegschaft in Taiwan für entscheidend halten, als sie meinten dass in Arizona die Leute zu dumm wären (tldr).

Ich sehe auch nicht was es bringen würde jetzt Taiwan hier unter Druck zu setzen vom Westen aus..

Falls die Chinesen kommen, werden die Maschinchen als erstes ausgeflogen oder im Notfall gesprengt.

Complicated
2023-09-08, 14:50:20
Ok, wars halt die Niederlande die auf Ersuchen der USA und Japan das Exportieren von EUV Scannern nach China untersagt haben. Die Niederlande hat da gar nichts entschieden, sondern das war Druck aus den USA und Japan.
Ja das waren 4 EUV-Belichter und ASML hat damals mitgespielt in Absprache mit der holländischen Regierung (Grund war aber ein fadenscheiniges CIA-Dossier über die chinesische Bedrohungslage)
Für ASML war das zu diesem Zeitpunkt ein geringer Impact, da die Bücher gefüllt waren durch TSMC, Intel und Samsung. Das EUV-Monopol war im Westen gelandet.
Damals genau wie du beschreibst Trump in Kooperation mit Intel.
Reuters hat berichtet und verifizierte die Vorgänge in 2019:
https://forum.planet3dnow.de/index.php?threads/amd-aktien.343791/page-77#post-5267308

Jetzt ist aber aktuell ein regelrechter internationaler Diplomaten-Krieg rund um ASML, Holland, China, Intel und der US-Regierung entstanden. Intel ist Teilhaber von ASML. Nun, man könnte hierbei einen Vorteil für Intel vermuten und erwarten, dass sie hier Einfluß nehmen um bevorzugt beliefert zu werden. Und genau das tun Sie. Nur können Sie nicht TSMC in Taiwan schaden, da dies ein fatales Signal wäre Geopolitisch. Also was ist dann Mitte letzten Jahres geschehen?

Trump hat sich eingemischt und gefordert die Lieferung durch ASML für chinesische Kunden zu stoppen aus Sicherheits-Interessen heraus!
Reuters berichtet: https://www.reuters.com/article/us-...ina-chip-equipment-sale-sources-idUSKBN1Z50HN
Die Kampagne hat allerdings schon 2018 begonnen und Intel war hier eindeutig beteiligt. Aus dem oberen Artikel werden die Abläufe fast Transparent wenn man zwischen den Zeilen liest. Ich fass das mal kurz zusammen:
1. 2018 Intel geht mit seinem Problem zu Trump.
2. US-Regierung prüft umgehend ob Sie die Auslieferungen an China stoppen können und stellen fest, dass der Anteil an US-Beteiligung unter 25% liegt, was die gesetzliche Grenze ist um solche Maßnahmen zu ermöglichen.
3. Es finden dennoch intensive Gespräche mit dem holländischen Premier Minister, Mark Rutte, statt geführt vom US Sicherheoitsberrater des Weissen Hauses, Charles Kupperman. Reuters hat dafür 3 unabhängige Quellen. Dies geschieht im Rahmen des Besuchs im Weissen Haus am 18.07.2019. Auf der Grundlage eines "Geheimdienstberichtes" über die möglichen Gefahren durch die Chinesen, verkündet der Premier von Holland, die Export-Lizenz nach China für ASML nicht zu verlängern und hat somit die ersten Lieferungen nach China gestoppt.

Nun mag man sich fragen "was hat denn Intel jetzt damit zu tun?" kommen wir zu
4. In 2018, nachdem man sich an das Weisse Haus gewandt hatte, nahm sich die US-Regierung einige Monate Zeit um die "Lage zu evaluieren", bis im Oktober 2018 Intel ganz überraschend seinen Anteil an ASML reduzierte auf unter 3% - Warum sollte man das tun, bei dem EINZIGEN Zulieferer von EUV-Belichtern weltweit derzeit? Der auch noch Gewinne derzeit macht die atemberaubend sind mit dem Monopol.
Ich würde sagen ein typischer Trump-Deal. Der Anteil hat sowieso nicht gerreicht um offiziel Einfluß zu nehmen. Also bietet man an bei entgegenkommen in der Angelegenheit, sich noch weiter zurück zu ziehen um zukünftige Einflußnahmen zu auszuschließen - die Holländer konnten sich frei kaufen und haben da sicherlich gute Bedingungen ausgehandelt.
(Trump hat umgehend in Folge der Gespräche eine Innitiative gestartet diese US-Beteilungsgrenze auf 20% zu reduzieren um die rechtliche Grundlage zu verbessern)

Nur hat die USA Ende 2022 weitere Beschränkungen gefordert für SMIC für DUV-Belichter ab 10nm - hier hat sich sowohl die Regierung als auch ASML nicht beeinflussen lassen: https://forum.planet3dnow.de/index.php?threads/amd-aktien.343791/post-5423300
Haltung von ASML zu dem Argument militärische Verwendung:
“What constitutes national security is for Americans to determine. But it is common knowledge that chip technology for purely military applications is usually ten, fifteen years old. The technology used to make such chips can still be sold to China. Artificial intelligence requires the most advanced chips. They are made with EUV and are therefore not produced in China. But those chips are simply sold, also to the Chinese. American chip manufacturers have no problem with China as a customer.”

Daraufhin folgte die "excutive order" für amerikanische Staatsbürger und auch Visa-Inhaber.

Platos
2023-09-08, 16:00:17
Passend zum China-USA Wirtschaftskrieg:

https://www.tagesanzeiger.ch/iphone-bann-und-huawei-hammer-china-schockt-die-usa-doppelt-482635050666

China will anscheinend iPhone für Mitarbeiter in Staatsbereichen verbieten. Laut dem Artikel sollen das über 56 Millionen sein, wobei ich nicht weiss, was "Staatsnah" sein soll.

War klar, das sowas kommt.

China hält gegen USA's Sanktionen dagegen, bis sie eigenständig(er) sind und dann werden sie nach und nach immer weiter alles verbieten, was von der USA kommt bzw. den Export in die USA.

Zossel
2023-09-11, 13:38:46
Während es keine offizielle Aussage gibt, welcher Kunde die erste Maschine erhalten wird, vermutet Tomshardware Intel als ersten Abnehmer. Für den kommenden 18A-Node wollte Intel ursprünglich auf High-NA EUV setzen, musste aber aufgrund fehlender Maschinen vorerst auf andere Methoden zurückgreifen. Auch TSMC will ab 2024 mit der EUV-Testproduktion beginnen.

https://www.golem.de/news/chipfertigung-asml-liefert-erste-high-na-euv-belichtungsmaschinen-aus-2309-177552.html

mboeller
2023-09-11, 13:49:43
China will anscheinend iPhone für Mitarbeiter in Staatsbereichen verbieten. Laut dem Artikel sollen das über 56 Millionen sein, wobei ich nicht weiss, was "Staatsnah" sein soll.


Pünktlich zur Vorstellung des Huawei Mate 60 Pro; das erste 5G-Handy mit sanktionsfreier Technik aus China.

Auch eine Art der Wirtschaftsförderung.

DrumDub
2023-09-11, 13:56:51
Pünktlich zur Vorstellung des Huawei Mate 60 Pro; das erste 5G-Handy mit sanktionsfreier Technik aus China.

Auch eine Art der Wirtschaftsförderung. ich sag nur "made in germany" .. das ging bei den briten damals auch nach hinten los.

alleridngs hat china im moment ganz andere probleme.

smalM
2023-09-15, 16:24:27
China hält gegen USA's Sanktionen dagegen, bis sie eigenständig(er) sind und dann werden sie nach und nach immer weiter alles verbieten, was von der USA kommt bzw. den Export in die USA.
Genau!
Insbesondere die Waren für 582000000000$, die man letztes Jahr in die USA exportiert hat, will man stattdessen lieber nicht mehr herstellen.
:freak:

Zossel
2023-09-28, 13:04:07
Der separate I/O-Kern wird bei TSMC im 40LP-Verfahren gefertigt und wurde vom gleichen Team erstellt, das auch für den Mikrocontroller RP2040 zuständig war. Der Chip sei seit 2016 in Entwicklung und mehrfach überarbeitet worden. Der gesamte Design-Prozess habe dabei etwa 15 Millionen US-Dollar gekostet, schreibt Upton.

https://www.golem.de/news/mini-computer-raspberry-pi-5-verspricht-dreifache-leistung-2309-178048.html

davidzo
2023-09-28, 13:39:46
https://www.golem.de/news/mini-computer-raspberry-pi-5-verspricht-dreifache-leistung-2309-178048.html

M.2 PCIe und nvme support war auch lange überfällig.

Die SDkarten sind im Moment der größte Nachteil und für wirkliche embedded Nutzung häufig ein Ausschlusskriterium für den Pi. Ja es gibt industrial flash der länger hält, aber der ist kleiner, langsamer und unterschiedet sich hauptsächlich durch besseren MLC oder gar SLC und nicht durch besseres wear level management, trim, etc. wie bei einer richtigen SSD. Bei den preisen und der Zuverlässigkeit von großen M.2 Karten ist es absurd weiterhin auf uralte industrial flashKarten zu setzen die ein zigfaches kosten und endslahm sind. Und USB2.0 zu Sata oder NVME Adapter für eine SSD sind einfach bescheuert wenn der Chip selber schon PCIe hat, erzeugen unnötig USB overhead und nutzen dann auch nicht alle features von NVME, keine vollen SMARTwerte und transparenz von trim und wearlevelingvorgängen.

Die custom Soutbbridge verstehe ich aber auch nicht. Wozu brauche ich die wenn der SOC selber schon 4x Lanes PCIe hat? Die sind doch so schon maximal flexibel, wieso will ich dass da ein festes Set an i/o drangelötet wird?
Mir würde PCIe 2.0 x1 schon reichen für ne SSD, x2 ist noch besser. Und dann gerne noch 1x x1 mit USB für wifi+BT karten.
Wozu brauche ich da eine extra southbridge die nur unnötig strom verbraucht un i/os liefert die nur ein Bruchteil der Leute brauchen? Das geht imo in die Falsche Richtung, aber mit onboard M.2 auch gleichzeitig in die richtige.

15Mio ist schon möglich wenn man ein Designteam in UK davon sieben Jahre lang bezahlt. Die reinen 40nm Setup kosten bei TSMC werden eher ein zehntel davon sein. Wozu das ganze notwendig ist erschließt sich mir nicht, ich halte das für pure Geldverbrennung denn i/o IP und fertige Chips gibts nun wirklich wie Sand am Meer.

mboeller
2023-09-28, 14:57:51
Genau!
Insbesondere die Waren für 582000000000$, die man letztes Jahr in die USA exportiert hat, will man stattdessen lieber nicht mehr herstellen.
:freak:

Du weißt aber schon, dass das nur 3% vom chinesischen GDP sind, nominal gerechnet, und nur 1.6% wenn du das mit dem PPP-GDP vergleichst.

Also, warum nicht... ;)

smalM
2023-10-06, 22:28:55
Du weißt aber schon, dass das nur 3% vom chinesischen GDP sind, nominal gerechnet, und nur 1.6% wenn du das mit dem PPP-GDP vergleichst.

Also, warum nicht... ;)
Auch wenn's mit einem ;) versehen war, aber Deine Milchmädchenrechnung mit der KKP ist nicht nur genau andersrum, es fehlt natürlich auch die Betrachtung der von Arbeitsplätzen in der primären Exportwirtschaft abhängigen Arbeitsplätze in der Sekundär- und Tertiärwirtschaft. Und keine Frage, die Immobilienblase würde daraufhin sofort platzen. Müßig zu betrachten, wen das dann noch alles abwärts mit sich risse.
Wieviel dauerhaften Einbruch des BIP vertrüge die KPCh, wieviel Millionen Arbeitslose? 50? 100?
Ach ja, China geht dann natürlich nicht pleite, die produzieren nur nichts mehr. Damit wird man heutzutage Wirtschaftsexperte...

Zossel
2023-10-18, 20:04:29
Und an der Front "Computational Memory" tut sich auch mal was:

https://www.servethehome.com/sk-hynix-hbm3e-cxl-computational-memory-mcrdimm-and-more-ocp-summit-2023/

Schauen wir mal was das bringt.

dildo4u
2023-10-19, 11:39:12
Nachfrage nach 7nm schwach dafür wird es im High-End endlich Interessant

TSMC: N3P ist wie Intel 18A, nur viel billiger

https://www.computerbase.de/2023-10/tsmc-quartalszahlen-n7-fertigung-bleibt-grosses-problemkind-waehrend-n3-startet/

Andi_90
2023-10-20, 08:14:30
TSMC Q3 Call

Gokul Hariharan
Congratulations on a great result, and thanks for the details on N3 and N2. My first question is on the technology leadership. Given we are hearing a lot of competitive messaging from your U.S. IDM competitors/customer in the last few months, Intel seems to think that they will be getting into technology or process technology leadership in 2025. Just wanted to hear what does TSMC think of Intel's claim?

Dr. C. C. Wei
Well, Gokul, this is C. C. Wei. Let me answer your question with a very simple answer, said, no. But what I was stated a little bit long? Actually, we do not underestimate any of our competitors or take them lightly.

D.A.N. :ROFLMAO:

Dr. C. C. Wei
Having said that, our internal assessment shows our N3P, now I repeat again, N3P technology, demonstrated comparable PPA to 18A, my competitors' technology, but with an earlier time to market, better technology, maturity and much better cost. In fact, let me repeat again, our 2-nanometer technology without backside power is more advanced than both N4P and 18A, and while the semiconductor industry is most advanced technology when it is introduced in 2025.

Und hier nochmal der Intel Meteor Lake Delay...
Question on 7nm utilization which is down again this quarter:

Dr. C. C. Wei
Now talking about the N7, the 7-nanometer technology, why we have such a low utilization or the revenue decrease, it's go beyond our initial original plan because of -- we expect the N7 to be very fully utilized even now, but it is not. Let me answer the question because of -- we suddenly have -- in 10 years, the smartphone demand dropped dramatically from about 1.4 billion units to about 1.1 billion now. So that exactly, in this time frame, the N7's utilization has been impacted and followed by one major customer who delayed their product introduction.

Altehardware
2023-10-20, 12:13:34
In fakt heißt das tsmc 2025 n2 bereit hat und mit n2p backside drill 2026 und n2x 2027 gaa
Womit klar ist das blackwell (nvidia) auf n2 kommt. Und somit frühestens 2026

Nightspider
2023-10-20, 12:29:04
Was hast du geraucht?

Blackwell kommt 1H25 mit N3P.

Außerdem falscher Thread. Es gibt einen Blackwell Thread.

Zossel
2023-11-20, 16:45:46
Etwas diffuse Meldung, wo ist das Neue?
Teile wo Compute-Dies auf einen anderem Die sitzen sind ja bereits in der Diskussion.

https://www.computerbase.de/2023-11/neues-design-konzept-sk-hynix-will-hbm-und-gpu-zu-einem-chip-vereinen/

basix
2023-11-20, 19:59:46
DRAM auf Logic/Compute. Das ist das "Neue". Ich stelle mir das so vor: HBM4 kontaktiert von oben/unten direkt auf den Die, ohne das U (wie Umweg) über den Interposer zu machen. Die Kontaktierung bleibt aber am Rand des Die, damit die Kühlung des Chips nicht beeinträchtigt wird. Dadurch kann man einen engeren Pitch wählen und die Verbindung Chip <-> DRAM wird nochmals kürzer.

Ich kann mir nicht vorstellen, dass man den DRAM direkt über dem Logic Die platziert. Ergibt nur imense Probleme beim Wärmetransport. In der Theorie wäre es zwar ideal, da der Speicher sehr nahe platziert werden kann. Nur müsste man dann auch entsprechende Kontaktierungen und TSV über den gesamten Logic-Chip verteilen und limitiert zudem den Platz und die Fläche für den DRAM.

Tobalt
2023-11-23, 20:11:45
Handelsblatt berichtet über Gerüchte, wonach imec über eine Zweigstelle in Deutschland nachdenkt, favorisiert wäre Dresden.

Falls da was dran ist, könnte das ja durchaus ein Indiz sein, dass ESMC und Intel in einigen Jahren tatsächlich bleeding edge in Deutschland erwägen.

Zossel
2023-11-29, 17:51:20
So was bitte als Schreibpuffer in SSDs, damit die schneller nach einem Commit wieder zurück kommen.

https://www.heise.de/news/Nichtfluechtiges-RAM-mit-32-Gigabit-und-ferroelektrischen-Kondensatoren-9542639.html

Und es ist schon spannend was man heute in Silizium ätzen kann:

https://heise.cloudimg.io/width/610/q70.png-lossy-70.webp-lossy-70.foil1/_www-heise-de_/imgs/18/4/5/0/5/7/2/8/Micron-NVDRAM-1-16-9-a99186aaba5b773b.jpeg

Tobalt
2023-11-29, 18:29:19
Zwei 1T1C array übereinander sieht schon nach 3D Chess aus ;)

Allerdings dürfte das kostentechnisch ziemlich krass sein.

STT-MRAM kann auch in Punkto Geschwindigkeit und Dichte mit DRAM mithalten und ist natürlich nonvolatile und unendlich oft beschreibbar.

Es dürfte hier also auf den Preis und besonders auf die Prozesskompatibilität ankommen. Beide werden gegenüber DRAM zu teuer sein und nur punkten, wenn dichte nicht ganz so kritisch ist und/oder power sehr wichtig ist. Dann wird man je nach Fall SRAM, MRAM oder FeRAM nehmen

basix
2023-11-29, 21:00:07
Für mich das erstaunliche sind die 32 Gbit pro Die Kapazität. FRAM ist damit also sehr dicht geworden. Und eben auf Augenhöhe von aktuellem DRAM.

HOT
2023-12-01, 10:13:09
Interssante Analyse:
https://winfuture.de/news,139863.html

Intels Fertigung ist nicht nur nicht konkurrenzfähig, sie ist auch zu teuer.

Zossel
2023-12-01, 11:48:50
Interssante Analyse:
https://winfuture.de/news,139863.html

Intels Fertigung ist nicht nur nicht konkurrenzfähig, sie ist auch zu teuer.

Wo ist da eine Analyse?
Ich sehe da nur Behauptungen und Spekulationen.

dildo4u
2023-12-01, 11:58:09
Nur logisch GPU ist das einzige was massiv Wachstum Potenzial hat(siehe Nvidia) und dort ist man bei TSMC mit wenig Chancen das Intel Next-Gen Modelle in 3 oder 2nm selber fertigen kann.

Die zukünftigen Intel CPU Tiles hat man ja extra vom Rest des Chips entkoppelt damit man keine 200mm² Die mehr fertigen muss.

davidzo
2023-12-01, 11:59:28
Und es geht auch in keinem Wort um teure Fertigung, sondern teures R&D für künftige Nodes. Ja und da spart Intel kurzfristig Kohle indem man keinen N6 äquivalenten Node entwickeln musste und auch N4 nur auf Sparflamme läuft. Stattdessen hat man das eigene Investment eher Richtung 20A und 18A verschoben, was auch Sinn macht, da man erst bis dahin genug EUV Kapazitäten hat um den kompletten Switch von DUV zu EUV Prozessen zu machen.

TSMC operiert mit einer gross margin von 54.3%. Die Maschinen die TSMC und Intel für advanced EUV Prozesse benötigen sind praktisch dieselben, also gleich teuer und bei beiden derzeit zu 100% ausgelastet. Da müsste Intel schon über Faktor 2x schlechtere Yields haben damit die eigene Fertigung effektiv teurer wäre.

w0mbat
2023-12-02, 23:42:17
Ich hab gerade aus Spaß versucht die die-size von Microsofts Maia 100 chip zu messen. Basis ist dieses Foto (https://cdn.wccftech.com/wp-content/uploads/2023/11/Microsoft-Azure-Maia-100-Cobalt-100-AI-Chips-_2.jpeg) und dass wir wissen, wie groß die HBM2E chips sind (10x11mm).

Ich komm relativ genau auf 858mm², also das reticle limit von EUV. Mit 105 Mrd. Transistoren wird es ein großer chip sein, aber das ist ja eigentlich fast unmöglich. Hab ihr schon andere Angaben zur die-size gefunden?

y33H@
2023-12-03, 06:28:08
DUV.

dildo4u
2023-12-03, 08:46:29
Ich hab gerade aus Spaß versucht die die-size von Microsofts Maia 100 chip zu messen. Basis ist dieses Foto (https://cdn.wccftech.com/wp-content/uploads/2023/11/Microsoft-Azure-Maia-100-Cobalt-100-AI-Chips-_2.jpeg) und dass wir wissen, wie groß die HBM2E chips sind (10x11mm).

Ich komm relativ genau auf 858mm², also das reticle limit von EUV. Mit 105 Mrd. Transistoren wird es ein großer chip sein, aber das ist ja eigentlich fast unmöglich. Hab ihr schon andere Angaben zur die-size gefunden?

100 Milliarden scheint kein Limit von 5nm TSMC zu sein was nutzt Maia?

The MI300 graphics processor is a large chip with a die area of 1017 mm² and 146,000 million transistors.

TSMC N5 technology is the Company's second available EUV process technology, following the success of its N7+ process.

https://www.techpowerup.com/gpu-specs/radeon-instinct-mi300x.c4179#:~:text=The%20MI300%20graphics%20processor%20is,a%208192%2Dbit%20me mory%20interface.

Pirx
2023-12-03, 09:52:02
MI300... besteht doch aus mehreren auch gestapelten Chiplets https://www.semianalysis.com/p/amd-mi300-taming-the-hype-ai-performance

amdfanuwe
2023-12-03, 10:23:36
Navi 31 auf 300mm² 45,6 Milliarden Transistoren.
AMD RDNA 3 GPU Architecture Deep Dive: The Ryzen ...

Tom's Hardware
https://www.tomshardware.com › PC Components › GPUs
05.06.2023 — AMD RDNA 3 Specifications (https://www.tomshardware.com/news/amd-rdna-3-gpu-architecture-deep-dive-the-ryzen-moment-for-gpus) ; Transistors (Billion), 45.6 + 6x 2.05, 45.6 + 5x 2.05 ; Die size (mm^2), 300 + 225, 300 + 225 ; Compute Units, 96, 84 ...

y33H@
2023-12-03, 17:05:43
Microsofts Maia 100 sind ggf zwei Chiplets mit nem Layer on top.

w0mbat
2023-12-03, 17:08:43
Das würde einiges erklären. 105bn Transistoren in N5 müssten auch echt dicht sein um das reticle limit nicht zu brechen. GH100 hat mit seinen 80bn schon >800mm².

100 Milliarden scheint kein Limit von 5nm TSMC zu sein was nutzt Maia?

The MI300 graphics processor is a large chip with a die area of 1017 mm² and 146,000 million transistors.

TSMC N5 technology is the Company's second available EUV process technology, following the success of its N7+ process.

https://www.techpowerup.com/gpu-specs/radeon-instinct-mi300x.c4179#:~:text=The%20MI300%20graphics%20processor%20is,a%208192%2Dbit%20me mory%20interface.

MI300 ist chiplet basiert. Aber y33H@ meint ja, Maia 100 könnte auch chiplet based sein, das würde Sinn ergeben.

basix
2023-12-03, 21:49:58
AD102 hat 76.8bn auf 608mm2, und das mit 384bit GDDR6X anstatt 4x HBM. N31 hat wie amdfanuwe geschrieben hat, auch sehr viele Transistoren. Irgendwo 105...125bn Transistoren sind anhand der Density dieser zwei Chips in N4 innerhalb des Reticle Limit möglich.

robbitop
2023-12-04, 09:08:42
Nur als Hinweis wenn man N31 als Vergleich für Density nutzt: das GCD von N31 definitiv density Vorteile hat, weil nur Kram drauf ist, der gut shrinkt. Entsprechend schwer vergleichbar mit Dingen, die viel Cache, I/O, Analogkram etc drauf haben.

w0mbat
2023-12-04, 10:01:35
AD102 hat 76.8bn auf 608mm2, und das mit 384bit GDDR6X anstatt 4x HBM. N31 hat wie amdfanuwe geschrieben hat, auch sehr viele Transistoren. Irgendwo 105...125bn Transistoren sind anhand der Density dieser zwei Chips in N4 innerhalb des Reticle Limit möglich.

Klar, in zwei Chips ist das möglich. Ich dachte bisher, Maia 100 wäre monolitisch.

basix
2023-12-04, 11:42:39
Klar, in zwei Chips ist das möglich. Ich dachte bisher, Maia 100 wäre monolitisch.

Du hast mich falsch verstanden ;) Maia 100 mit 105bn Transistoren ist möglich in monolithisch ;) Die "zwei Chips" waren AD102 & N31 (als Referenz für die machbare Transistor-Density)

Nur als Hinweis wenn man N31 als Vergleich für Density nutzt: das GCD von N31 definitiv density Vorteile hat, weil nur Kram drauf ist, der gut shrinkt. Entsprechend schwer vergleichbar mit Dingen, die viel Cache, I/O, Analogkram etc drauf haben.
Ja. N31 kann als "Obergrenze" betrachtet werden. Anhand dessen Density wären es 125bn im Reticle Limit. Nimmt man AD102 (mit 384bit SI, 96MB LLC) kommt man auf 105bn im Reticle Limit.

w0mbat
2023-12-04, 12:42:08
Du hast mich falsch verstanden ;) Maia 100 mit 105bn Transistoren ist möglich in monolithisch ;) Die "zwei Chips" waren AD102 & N31 (als Referenz für die machbare Transistor-Density)
Wie gesagt, ich hab den chip gemessen und kam relativ genau bei 858mm² raus, eigentlich sogar knapp darüber. Erste grobe Messung waren >870mm². Das ist nicht möglich. Ich hab mich also entweder vermessen, oder es sind zwei chips.

Zossel
2023-12-04, 15:57:27
Jetzt ist auch die nicht-Fachpresse aufgewacht: (€)

https://www.spiegel.de/wirtschaft/intel-in-der-krise-wie-der-chiphersteller-und-westliche-regierungen-sich-gegenseitig-retten-a-0cae2b54-c373-405b-8767-da63d9bde638

w0mbat
2023-12-06, 10:47:46
So, Maia 100 ist wohl monolitisch, aber echt ziemlich nah am reticle limit. Also ~850mm².

Zossel
2023-12-11, 20:10:46
Analoges HF Chip Design:

https://www.youtube.com/watch?v=69mdJv6fWXE

Ich hab das Video bisher nur überflogen, da sind bestimmte noch mehr interessante Infos drin.

Skysnake
2023-12-11, 20:45:39
Soll da jetzt irgendwas spezielles drin sein? Wenn man sich für interessiert kann man sich auch Aufzeichnungen von Vorlesungen von US Unis reinziehen. Das ist relativ gut gemacht. Wobei mir das teils ziemlich verschuldet vorkam....

Zossel
2023-12-11, 23:43:29
Soll da jetzt irgendwas spezielles drin sein?

Der eine Typ stellt dumme Fragen.

mocad_tom
2023-12-13, 16:31:08
https://twitter.com/R3000C/status/1734954522064375810

Das wird für die nächsten Designs einiges ändern.

Ich weiß schon dass EUV High-NA gerade recht skeptisch gesehen wird.

AffenJack
2023-12-13, 18:08:42
https://twitter.com/R3000C/status/1734954522064375810

Das wird für die nächsten Designs einiges ändern.

Ich weiß schon dass EUV High-NA gerade recht skeptisch gesehen wird.

Es ist um High-NA auch immer stiller geworden, nachdem es vorher recht aggressive Roadmaps gab. Also wohl das gleiche Spiele wie bei DUV vs EUV Low-NA. Solange Multi-Patterning, bis es kaum mehr anders geht und erst dann High-NA. Mal gucken ob eine der Foundrys eventuell früher versucht vorzupreschen, wie Samsung mit EUV damals oder alle im Gleichschritt gehen.

Tobalt
2023-12-13, 19:35:28
Die ganzen Rezepte bleiben ja bestehen, und Masken würden einfacher werden.
Deshalb würden die Foundries sicher sofort auf 0.55 gehen, wenn es da wäre. Die challenge liegt hier eher ausschließlich bei ASML, dass das tool die specs einhält.

AffenJack
2023-12-13, 20:41:38
ASML muss da ebenso Marketing machen, damit Ihnen jemand High-NA möglichst früh abkauft. Das ASML hier vielleicht schon 2025 gerne High-NA verkaufen würde ist denen nur wegen dem Aktienkurs wichtig.
Für die Foundries zählt nur die Kostenrechnung und gerade da sieht es ja gemäß Semianalysis schlecht aus. Daher muss ASML High-NA weiterentwickeln bis es konkurenzfähig ist oder nicht mehr anders geht.

mocad_tom
2023-12-13, 20:47:17
Das bisherige rectile limit ist nahe quadratisch, zukünftig werden designs länglich.

Es gibt bei den Masken Magic Numbers und vielfache dieser Magic Numbers.

https://www.golem.de/news/halbleiterfertigung-ai-so-baut-cerebras-seinen-wafer-grossen-chip-2209-167958.html

Diese Cerebras Wafer sind auch entlang dieser magic numbers entworfen worden.

zukünftig also länglich.

basix
2023-12-13, 21:16:20
Alles bis ~300mm2 geht immer noch quadratisch. Mit Chiplets wird in den Nodes ab TSMC N2 und Co. fast alles kleiner als das werden. Das ist nicht wirklich ein Problem. Leicht länglich hat bis jetzt auch niemanden gestört.

Skysnake
2023-12-13, 21:34:59
Die Chips werden aber kleiner und die Durchlaufzeit pro Wafer länger.

Das wird sich auf die Kosten auswirken.

Vor allem aber ist die ganze aktuelle Entwicklung zu Chiplets kein Wunschkonzert sondern einfach ein Zwang. Die Entwicklung geht jetzt mit Chiplets noch etwas weiter, aber die Wände rasen mit nem Affenzahn auf uns zu.

Das wird in den nächsten Jahren ziemlich heftig knallen.

Ich kann aus erster Hand berichten, das ne Uni vor glaub 2 Jahren war was ziemlich genau keinen neuen Rechner beschafft hat, weil das neue System langsamer gewesen wäre als das Alte und das nachdem man Millionen bezahlt hat...

Tobalt
2023-12-14, 04:45:10
Da wird doch nichts langsamer? Ja kleineres Reticle etc. Aber die Intensität pro Fläche steigt ja, d.h. du kriegst den Wafer in kürzerer Gesamtzeit belichtet. Der Scanner ist halt schneller pro Reticle.

Ich denke, in Zukunft werden wir besseres Handling von mehreren parallelen Masken sehen, sodass man auch single die chips auf Basis von 2 oder 4 Masken bauen kann gestitcht. Zumindest für highend, bei zB GPU. Da wo Chiplet geht, ist das natürlich der präferierte Weg.

Skysnake
2023-12-14, 14:17:12
Doch die Systeme werden bei ISO Budget langsamer. Wenn du heute ein System für 5 Mio TCO beschaffst ist es langsamer als dein bestehendes 5 Mio System. Das ist ein kompletter Paradigmenwechsel.

smalM
2023-12-15, 20:19:57
Das ist einfach:
Die Teurungsrate ist höher als die Leistungszuwachsrate.

Tobalt
2023-12-17, 09:45:58
Dies ist aber auch schon eine Weile so. Es wurde ja schon vor 5 oder mehr Jahren diskutiert, dass die EUV nodes evtl. niemals für mainstream chips genutzt werden könnten, außer dass ihr Preis pro Transistor künftig sinkt, sodass er unter DUV liegt.

Zossel
2023-12-17, 10:02:00
High-NA vs. Low-NA: https://www.semianalysis.com/p/asml-dilemma-high-na-euv-is-worse
The throughput advantage of low-NA double patterning is so strong that despite requiring twice as many wafer passes through the scanner, the lithography costs are lower than a high-NA single exposure.

[ ..... ]

And, ironically, the faster stages developed for high-NA will be backported to future low-NA models, increasing their throughput and further improving their cost advantage over high-NA, because the low-NA tools at low dose are more stage limited.
Intel hat sich doch gerade jede Menge High-NA Belichter gezogen, bei Low-NA-EUV war Intel zu spät dran und jetzt lohnt sich High-NA-EUV noch nicht so richtig :-)

Skysnake
2023-12-17, 14:38:58
Das ist einfach:
Die Teurungsrate ist höher als die Leistungszuwachsrate.

Ja und das war jetzt schon vor der hohen Inflation. Es liegt einfach daran, dass die Produktionskosten stärker steigen als die dadurch gewonnene Leistung.

Es ist teils wirklich so, das du durch alte Produkte günstiger eine gegebene Leistung erreichen kannst als mit aktuellen.

Clustet austauschen macht immer weniger Sinn. Wenn einfach den alten stehen lassen und erweitern.

Leonidas
2023-12-24, 08:18:08
Weiter rasant steigende Kosten für Chipfertigung und Fertigungsanlagen bis hin zum 2nm-Node
https://www.3dcenter.org/news/weiter-rasant-steigende-kosten-fuer-chipfertigung-und-fertigungsanlagen-bis-hin-zum-2nm-node

https://www.3dcenter.org/dateien/abbildungen/The-cost-of-the-nanometer-race.png

Zossel
2023-12-24, 09:52:16
Weiter rasant steigende Kosten für Chipfertigung und Fertigungsanlagen bis hin zum 2nm-Node
https://www.3dcenter.org/news/weiter-rasant-steigende-kosten-fuer-chipfertigung-und-fertigungsanlagen-bis-hin-zum-2nm-node

Eine weitere Chance für China, näher an die westliche Chipfertigung heranzurücken, ist mit einem anderen, im Artikel nur gestreiften Gedankengang zu sehen: Die hohen Waferkosten werden deren Abnehmer zunehmend dazu zwingen, immer mehr abzuwägen, welche neuen Chipprojekte tatsächlich die jeweils neueste Chipfertigung benötigen. Insbesondere im Consumer-Bereich haben die letztendlichen Produkte zumeist eine endliche Preisspirale, sprich deren Abgabepreis im Endverbraucher-Markt ist nicht beliebig zu erhöhen.

Die chinesischen Anlagen müssen sich trotzdem refinanzieren was nur mit "billigen" Chips schwieriger wird bzw. länger dauert (ROI).

Zossel
2023-12-24, 13:37:56
Halbleiter-Industrie: Verbot von Ewigkeitschemikalien gefährdet Chip-Ambitionen:

https://www.heise.de/news/Halbleiter-Industrie-Verbot-von-Ewigkeitschemikalien-gefaehrdet-Chips-Ambitionen-9582377.html

Slipknot79
2023-12-25, 00:30:41
Weiter rasant steigende Kosten für Chipfertigung und Fertigungsanlagen bis hin zum 2nm-Node
https://www.3dcenter.org/news/weiter-rasant-steigende-kosten-fuer-chipfertigung-und-fertigungsanlagen-bis-hin-zum-2nm-node

https://www.3dcenter.org/dateien/abbildungen/The-cost-of-the-nanometer-race.png


Lässt sich daraus upleiten, ob irgendwann keine GPUs mehr verkauft werden können, z.B.: weil eine Einstiegs-Graka um min. 1500EUR zu haben wäre? Oo

Nightspider
2023-12-25, 01:20:03
Thats a weird question.

Die Prozesse werden trotzdem mit der Zeit günstiger weil die Belichtungsmaschinen ihre Investitionen ja auch wieder reinspielen.

Packaging wird auch immer günstiger und vor allem ausgereifter und besser werden.

Neue Materialien werden neue Möglichkeiten eröffnen.

Neue Architekturen werden viel effizienter mit der Fläche bzw. dem dreidimensionalen Raum (3D Stacking) umgehen.

Die Sprünge pro Geldschein werden immer kleiner aber auch bei der Grafik läuft man fühlbar in einen Grenzbereich hinein.

Was will man denn noch viel mehr als das was eine Unreal Engine 5 kann?

In 5-10 Jahren stellt man sich bzgl. der Hardware und Grafik vielleicht die Frage: braucht man denn wirklich noch mehr? Man kann doch schon alles darstellen mit genügend Entwicklungsaufwand.
Wichtiger wird dann vielleicht eher die Generierung von Content, also Software. Stichwort: Engines, AI Generierung usw usw um die Rechenleistung auch (sinnvoll) nutzen zu können.
Ohne riesige Studios mit 1000 Mitarbeitern und aufwärts kann man ja kaum die ganze Leistung sinnvoll in realistische Games wie GTA6 umwandeln

Kleine Studios sind da teilweise jetzt schon abgehängt, wo Content teils etwas hingepappt und oberflächlich wirkt und die Gesamtgrafik darunter leidet.

Slipknot79
2023-12-25, 03:20:16
Ich glaube nicht, dass die Entwicklung beim "wir brauchen nicht mehr" stoppen wird. Wird immer was geben, was man wieder haben wird wollen als Gamer. War schon immer so und gab auch Momente, wo ich mir dachte: "Was für ein Shice soll jetzt noch kommen." Und dann so: "axo, fehlt noch nen Holodeck in der Sammlung." (y)

Irgendwie klingt das alles nicht nach "alles qool, wafer werden wieder billiger". Der Aufwand mit Photonen in immer feinere Strukturen zu ballern wird wohl immer höher und damit auch toirer. Was soll da die technische und günstigere Alternative sein? Oo

Leonidas
2023-12-25, 14:49:11
Es wird aus meiner Sicht Zeit für einen Sprung auf etwas generell neues. Anderes Material oder andere Art von Chips. Etwas, wo die Fertigung noch nicht so ausgereizt ist, wo noch viel Platz nach oben ist.

Sichtbar ist davon leider noch gar nichts. Es wäre nur an der Zeit.

Zossel
2023-12-25, 18:39:40
Es wird aus meiner Sicht Zeit für einen Sprung auf etwas generell neues. Anderes Material oder andere Art von Chips. Etwas, wo die Fertigung noch nicht so ausgereizt ist, wo noch viel Platz nach oben ist.

Sichtbar ist davon leider noch gar nichts. Es wäre nur an der Zeit.

Die Physik ist kein Ponyhof. (Leider haben viele damit in einem anderen Kontext ziemliche Probleme)

Ansonsten war schon länger klar das die Chipfertigung an wirtschaftliche Grenzen kommt, niemand wird ein Handy für 10 Riesen kaufen.
Aber die Fabs werden sich dann technologisch annähern, das wird dann genauso langweilig wie Autos.

ceed
2023-12-25, 18:53:53
Da müsste man aber von Anfang an besser sein als mit Silizium oder gleich schnell und billiger.. Das ist irgendwie undenkbar dass man etwas findet und man nicht Zig Milliarden erst rein stecken muss bevor man so weit ist. Wie sind fürchte ich pfadabhängig.

Wuge
2023-12-25, 19:00:49
Licht... ?

Skysnake
2023-12-25, 19:45:23
On Chip optics kommt gerade.

Der nächste große Sprung abseits davon wäre wohl für Logik Chips Supraleitende Chips. Da ist man aktuell auch dran und wäre ne gute Ergänzung zu Quantencomputing

Mit Supraleitenden Chipssind die nächsten 100-1000x Leistungszuwachs bei gleichem Stromverbrauch in Sicht

Tobalt
2023-12-25, 20:27:54
Neben dem erwähnten wird es meiner Meinung nach auch in immer mehr Anwendungen weg von CMOS boolean logic und extremer Miniaturisierung gehen, bzw. komplementär dazu. DER Elefant im Raum ist natürlich AI, die mit CMOS boolean logic hoffnungslos ineffizient ist.

Die Frage ist IMO nicht, ob AI künftig durch analoge Bausteine komplementiert wird, sondern eher auf welcher Basis diese gebaut werden.

mboeller
2023-12-25, 20:45:46
Es wird aus meiner Sicht Zeit für einen Sprung auf etwas generell neues. Anderes Material oder andere Art von Chips. Etwas, wo die Fertigung noch nicht so ausgereizt ist, wo noch viel Platz nach oben ist.

Sichtbar ist davon leider noch gar nichts. Es wäre nur an der Zeit.

https://en.wikipedia.org/wiki/Reversible_computing

das war mal vor >10 Jahren "in" bzgl. Supercomputern, leider ist bisher nichts daraus geworden. Soll angeblich 100-1000x effizienter sein als normale Logik. Wurde aber bisher nicht umgesetzt. Obs funktioniert kann ich nicht sagen. Wäre aber wirklich ein Sprung und was generell neues, völlig unabhängig von "nm" Verbesserungen.

Anscheinend doch nicht ganz vergessen:
https://link.springer.com/chapter/10.1007/978-981-13-8821-7_1

das mit den 100-1000x besserer Effizienz basiert auf diesem alten PDF von 2005:
http://www.eng.fsu.edu/~mpf/ECE-seminar/ECEsem-6up.pdf

https://web1.eng.famu.fsu.edu/~mpf/pubs.htm


Recall, irreversible device technology
has at most ~3-4 orders of magnitude
of power-performance improvements
remaining.
– And then, the firm kT ln 2 limit is
encountered.

• But, a wide variety of proposed
reversible device technologies have
been analyzed by physicists.
– With theoretical power-performance
up to 10-12 orders of magnitude
better than today’s CMOS!
• Ultimate limits are unclear.


und ja, nach dem PDF wären es sogar >>1000 effizienter. Die 1000x sind mir aber irgendwie im Gedächtnis geblieben.

gute Präsentation: https://slideplayer.com/slide/13983504/ Page40 ist nett

Tobalt
2023-12-25, 23:17:13
Damit verwandt: Stochastic computing aka probabilistic computing. Liegt ganz grob gesagt zwischen dem klassischen deterministischen neumann computing und dem quantum computing.

Bsp.: Explizite factorization von integers:

https://www.nature.com/articles/s41586-019-1557-9

Leonidas
2023-12-28, 05:17:44
https://www.tomshardware.com/tech-industry/manufacturing/tsmc-charts-a-course-to-trillion-transistor-chips-eyes-monolithic-chips-with-200-billion-transistors-built-on-1nm-node
https://www.3dcenter.org/dateien/abbildungen/TSMC-Chipfertigungs-Roadmap-2020-2030.png

smalM
2023-12-30, 21:47:27
https://www.tomshardware.com/tech-industry/manufacturing/tsmc-charts-a-course-to-trillion-transistor-chips-eyes-monolithic-chips-with-200-billion-transistors-built-on-1nm-node

Macht mindestens 466 MT/mm².
Angeblich soll die Produktion in einer Erweiterung der Fab 15 in Taichung stattfinden. Der Golfplatz nördlich der Phasen 5-7 wurde bereits dem Gewerbegebiet zugeschlagen.

Zuerst kommt aber N2 in Hsinchu. Phase 1 ist schon ziemlich weit. (https://www.dacin.com.tw/en/upload/catalog_b/9b64ddfb930d37d50cc3010ca7353f97.jpg)
Direkt nebenan läuft die R&D Fab RDP1 bereits. (https://www.dacin.com.tw/en/upload/catalog_b/3550a974532b31d8484cdea83f364968.jpg)

Skysnake
2023-12-30, 23:23:20
Ist schon abartig, was TSMC sa.für Räder dreht.

Zossel
2024-01-08, 12:03:24
Irgendwie ist das untergegangen:

https://blocksandfiles.com/2024/01/05/ferroelectric-ram-update-and-micron/
https://www.heise.de/news/Nichtfluechtiges-RAM-mit-32-Gigabit-und-ferroelektrischen-Kondensatoren-9542639.html

Zossel
2024-01-20, 12:29:47
Was ist das denn für ein Suppenkasper? Meint der wirklich und im Ernst das man in ein paar Jahren einen Laden zur Fertigung von High-End-Chips für signifikante Stückzahlen aufbauen kann?

https://www.golem.de/news/sam-altmans-grosse-plaene-openai-will-eigene-fabriken-fuer-ki-chips-aufbauen-2401-181376.html

Wahrscheinlich geht in seiner Rübe die plutokratische Silicon-Valley-Ideologie gerade ein wenig steil.

bbott
2024-01-20, 13:19:38
Interssante Analyse:
https://winfuture.de/news,139863.html

Intels Fertigung ist nicht nur nicht konkurrenzfähig, sie ist auch zu teuer.
Das hört sich danach an also Intel, AMDs Weg geht. Erste Fab in DE und dann Fabs Ausgliederung und verkaufen...
Hat natürlich nichts mit DE zu tun, wäre aber irgendwie ironisch... Geschichte wiederholt sich :D

Sardaukar.nsn
2024-01-20, 14:02:02
1,5 nm Chips aus Magdeburg: https://www.computerbase.de/2024-01/deutschland-fab-intel-wird-in-magdeburg-1-5-nm-chips-fertigen/

Mit 1,5-nm-Chips zur fortschrittlichsten Fab weltweit: Der Bau in Magdeburg in Sachsen-Anhalt soll das Prunkstück von Intel werden. Offiziell hat Intel noch gar keine Roadmap über Intel 18A ausgelegt, was in den kommenden Wochen jedoch folgen wird, nachdem Intel-CEO Pat Gelsinger nun einen Ausblick gab.

smalM
2024-01-23, 00:01:49
TSMC hat die Q4 Bilanz vorgelegt:
19,62 Mrd.$ Umsatz, 7,48 Mrd.$ Gewinn
und für's Jahr:
69,3 Mrd.$ Umsatz, 26,87 Mrd.$ Gewinn, 5,85 Mrd.$ R&D, 30,45 Mrd.$ CapEx
CapEx-Spanne für 2024: 28–32 Mrd.$

Fabs:
JASM (12, 16, 22, 28nm) soll in einem Monat offiziell eröffnet und HVM im 4. Quartal gestartet werden.
In Arizona (F21) wird der Start von Pahse 1 mit N4 für H1/2025 erwartet, Phase 2 soll mit 2–3 Jahren Abstand folgen.
Der Plan für Dresden (Spezialitäten) existiert noch, Q4/2024 soll Baustart sein.
In Taiwan wird weiter ausgebaut: Tainan (F18) - N3, Hsinchu (F20) und Kaohsiung (F22) - N2, Taichung (F15) ist noch im behördlichen Genehmigungsprozeß.

smalM
2024-01-23, 23:06:03
SemiWiki:
SAMSUNG’S 2ND-GEN 3NM PROCESS, SF3, HAS BEGUN TRIAL PRODUCTION (https://semiwiki.com/forum/index.php?threads/samsung’s-2nd-gen-3nm-process-sf3-has-begun-trial-production.19474/)

Zossel
2024-01-25, 19:54:38
So richtig hat sich Intel noch nicht als großer Auftragsfertiger etabliert. Die Ambitionen werden aber mit einer neuen Kooperation bestärkt. Gemeinsam mit der United Microelectronics Corporation (UMC) aus Taiwan soll ein neues 12-nm-Verfahren entwickelt werden, mit dem wachstumsstarke Märkte bedient werden sollen.

https://www.computerbase.de/2024-01/intel-und-umc-gemeinsamer-12-nm-prozess-fuer-wachstumsmaerkte/

Badesalz
2024-01-28, 09:39:34
SemiWiki:
SAMSUNG’S 2ND-GEN 3NM PROCESS, SF3, HAS BEGUN TRIAL PRODUCTION (https://semiwiki.com/forum/index.php?threads/samsung’s-2nd-gen-3nm-process-sf3-has-begun-trial-production.19474/)
Du hättest gleich auf #3 verlinken können :tongue:

In die gleiche Kerbe bei Intel. Es sollte wohl klar sein, daß wenn man es hinschmeißt MeteorLake für Desktop zu machen, man klare Probleme in der Ausbeute hat. Sicherlich nicht nur von dem was man offiziell verwirft... Und wie brauchbar das läuft was läuft, wo man sich ein weiteres Canceln wegen dem dann vollständigen Gesichtsverlust eh nicht mehr leisten kann, steht auch nochmals auf einem anderen Blatt.

Die Jubelperser-News wie es bald weitergeht sind bei allen Foundrys mit SEHR viel Vorsicht zu betrachten. Jedenfalls, wenn man dabei nach Wahrheiten sucht.

Eigentlich freut mich eher (allgemein, nicht nur Intel) der Ausbau wie auch mehr an freien Kapazität bei 14nm und 12nm. Vieles läuft noch um 28nm-22nm rum und ist kaum zeitgemäß. Vor allem halt was das Gedöns um Kommunikation rum (Netzwerk) und Media angeht.

smalM
2024-01-29, 10:03:16
Es sollte wohl klar sein, daß wenn man es hinschmeißt MeteorLake für Desktop zu machen, man klare Probleme in der Ausbeute hat.
Man opfert den Markt mit der geringsten Bedeutung. Ärgerlich, ein Rückschlag, aber kein wirklicher Beinbruch für Intel.

Badesalz
2024-01-29, 11:37:08
Ja... Da gibt es immer noch den Kontext :wink: Es ging darum, daß es nun zwar läuft, aber eben wei-ter-hin nicht rund läuft.
In einem Technikforum sollte man sich imho allgemein nicht gegenseitig so stark mit News anfüttern deren Zielgruppe Shareholder sind :up:

Intels aktuelle... Pressemappe besagt, daß nicht nur 20A diesjahr kommt, sondern zum Ende hin auch schon 18A... I4 scheint noch Probleme zu machen, aber diesjahr, um I3 herum, gibts schon 20A und 18A? Spannend :uup:
2021 sprach man noch von 20A irgendwann 2024 und 18A irgendwann 2025.

Da muss doch irgendwann dazwischen eine RIESEN Erleuchtung passiert sein? Was darüber gehört? Mit I4 jedenfalls, also 7nm was nur noch wenig dichter als TSMC 7nm ist, und gleich dicht wie Intels 10nm, kriegen sie jedenfalls keine DesktopCPUs hin.

Zossel
2024-01-29, 11:57:49
Man opfert den Markt mit der geringsten Bedeutung. Ärgerlich, ein Rückschlag, aber kein wirklicher Beinbruch für Intel.

Aber heißt doch immer das Halo-Produkte so wichtig wären .....

Badesalz
2024-01-29, 12:07:09
Halo ist heute nicht mehr so einfach :rolleyes:
https://www.phoronix.com/review/intel-core-ultra-7-155h-linux

davidzo
2024-01-29, 21:00:59
Halo ist heute nicht mehr so einfach :rolleyes:
https://www.phoronix.com/review/intel-core-ultra-7-155h-linux

Ja, bei der CPU performance ist MTL eher ein Rückschritt. Kein Wunder dass man den so nicht in den Desktop bringt. Ein so deutlicher Performance-Rückschritt wäre umso peinlicher bei Desktop TDPs. Bei Mobile merkt man die leichten IPC und Taktregressionen nicht so leicht und man kann ja immerhin mit besserer Energieeffizienz bei Multicore Lasten argumentieren.

Ich kann mich aber echt nicht erinnern dass Phoenix so deutlich gegenüber Raptorlake dominiert hat wie das jetzt gegenüber MTL der Stand ist.

Immerhin hat man bei der GPU ganz gut vorgelegt: https://www.phoronix.com/review/meteor-lake-arc-graphics

Das wird auch AMD Hawk nicht einholen, da der wohl die gleiche CPU und GPU performance wie Phoenix bietet.

Ein weiterer Bonuspunkt ist dass Intel für ihre AI Engine, die zwar wohl nicht schneller als Phoenix und Hawk ist, immerhin von beginn an kompatible Software und mitliefert. AMDs Relaunch von Phoenix ist mal wieder typisch. Features die man hardwaremäßig schon seit Jahren eingebaut hat werden praktisch immer noch kaum genutzt und das versucht man jetzt beim Relaunch besser zu machen.

Ich bin gespannt wie es beim AI Duell ausgeht. Momentan steht es 1:1, Phoenix/Hawk gewinnt bei der CPU, Meteorlake bei der GPU.
Aber das wird was für einen anderen Thread.

Badesalz
2024-01-30, 09:03:34
Das sind imho die Früchte von Raja Koduri. Ich weiß nicht warum der wegen Arc so eine schlechte Kritiken bekam :tongue: Die dGPU war ja nur ein Nebenprodukt (?) :wink: Und Vega, hat sozusagen die Instinct begründet.
https://www.igorslab.de/its-time-to-say-goodbye-raja-koduri-verlaesst-intel-macht-nun-in-software/

Ist aber eher OT. Daher auch Ende an der Stelle :)

davidzo
2024-01-30, 13:10:05
Intel mit einem neuen DUV Node: https://www.anandtech.com/show/21247/intel-teams-up-with-umc-for-12nm-production-node


Wahrscheinlich ein Node der Bulk-Nodes wie 28nm ablösen soll, also total auf Preis und möglichst einfache Design guides getrimmt.
Beides trifft für Intel7 / 10nm wie auch 14+++ wohl nicht zu. Die sind beide wohl einfach zu hochgezüchtet auf performance und haben zu komplizierte PDKs als dass man das den Foundry-Kunden zumuten könnte.

Interessanterweise sind aber Intel3 und 18A für Foundrykunden verfügbar - die müssen also wesentlich einfachere PDKs haben und mit Industriestandard EDA laufen im Gegensatz zu den vorherigen Prozessen.
22FFL war ja auch stark vereinfacht da die ersten sechs metal layer gleich waren und insgesamt nur für sechs pitches designt werden musste. War aber afaik erst als 16nm für Foundry-Kunden verfügbar und mittlerweile ist der Prozess wohl so überholt dass man sicher mit ein paar Tweaks aus Intel7 und Co und aktuellen Scannern noch etwas besseres auf die Beine stellen kann.

Zu Intel7 sagte Gelsinger ja damals dass es eher ein shortlived node wird und man sich nicht darauf verlassen sollte dass der solange hält wie Intels vorherige Nodes (14nm und 22nm). Das war wohl eher Wunschdenken, nämlich dass Intel4 früher fertig wird.
Aber es zeigt dass Intel damals auch niemals vor hatte darauf einen Prozess für energie-sensible Anwendungen wie Chipsets oder Ähnlichem zu machen.
EDIT: Tomshardware schreibt dass es ein Ersatz für 10nm basierte Prozesse in allen Intel Arizona Fabs sein wird und auch viele der Tools aus 14nm und 10nm recycled werden (was immer so ist bei einem lagging edge node). Es liegt also nahe dass man wenig Pläne für Intel7 hat was Chipsets, Base-DIEs etc. angeht:
https://www.tomshardware.com/tech-industry/semiconductors/intel-and-umc-team-up-on-chip-manufacturing-intel-will-produce-jointly-developed-new-12nm-node-in-its-us-fabs


Weiß jemand in welchem Verfahren Z790 gefertigt wird? Ist das immer noch 14nm oder auch schon TSMC? Der Meteorlake SOC hat ja N6. PCIe Gen5 ist zumindest bei SSD Controllern schon ein Problem für 14/12nm class Prozesse und chipsätze verzichten auch bisher darauf.

Außer den LP Cores und Media engine sind da eigentlich nur Dinge drauf die sowieso nicht gut skalieren. 12nm würde also keinen großen Unterschied machen. Anscheinend ist TSMC N6 aber günstig genug dass man erstmal dabei bleibt.

Naja, vielleicht ist der Prozess wirklich nicht für internal use gedacht.

Badesalz
2024-01-30, 13:56:26
Also das ist jetzt nicht überraschend. Weder der noch und nöcher 14er wie auch der 10er ist etwas was man "Fremden" zumuten könnte. Oder, zeigen möchte. Es bleiben mehr oder weniger, Trapezakte für die man jahrelange Erfahrung braucht :freak:
Dieses Heckmeck verhindert keinen stabilen und ausgereiften 12nm, in jener Kooperation :wink:

Sowas find ich auch ziemlich toll. Es gibt so viele Sachen die in um 20nm rum laufen die man damit erheblich leistungsfähiger/kühler/sparsamer machen kann :up:

Lehdro
2024-01-30, 15:26:31
Weiß jemand in welchem Verfahren Z790 gefertigt wird? Ist das immer noch 14nm oder auch schon TSMC? D
Z790 ist wie Z690 noch immer in Intels eigener 14nm Node gefertigt.

Triskaine
2024-01-30, 15:49:10
Nope, Alder/Raptor Lake Chipsätze kommen von Samsung, in 11/14LPP. Zumindest laut den ernstzunehmenden Intel Leak Genießern auf Twitter die hin und wieder echte Folien/Fakten bringen.

Lehdro
2024-01-30, 16:20:17
Nope, Alder/Raptor Lake Chipsätze kommen von Samsung, in 11/14LPP. Zumindest laut den ernstzunehmenden Intel Leak Genießern auf Twitter die hin und wieder echte Folien/Fakten bringen.
Bei Anandtech stand 14nm von Intel: (https://www.anandtech.com/show/16970/the-intel-z690-motherboard-overview-over-50-new-models-with-ddr5-support)
Compared with previous generations of Intel's chipsets, the Intel Z690 is based on its 14nm manufacturing process, so it's similar to previous designs such as Z590 and Z490.

davidzo
2024-01-30, 16:33:46
Nope, Alder/Raptor Lake Chipsätze kommen von Samsung, in 11/14LPP. Zumindest laut den ernstzunehmenden Intel Leak Genießern auf Twitter die hin und wieder echte Folien/Fakten bringen.

Das war mal ein Gerücht, genau so wie Rocket Lake Prozessoren aus Samsung Fertigung. Intels Manager haben damals ziemlich viel gescoutet weil man weder genug 10nm/7 yieldas aufweisen konnte, noch genug EUV Scanner für danach hatte.

Z790 entspricht fast genau Z690, mit dem einzigen Unterschied das mehr der vom Chipsatz zur Verfügung stehenden PCIe Lanes nun Gen4 unterstützen. Das könnte ein neuer DIE sein, das könnte aber auch nur eine Signalqualitätsgeschichte sein, also neues stepping oder neue board layout guidelines. Die restlichen Specs sind wie gesagt völlig identisch.

smalM
2024-02-03, 22:23:36
Aber heißt doch immer das Halo-Produkte so wichtig wären .....
Intels Laptop-Markt besteht vor allem aus Lenovo, HP, Dell, Acer und Asus. Man hat es mit Konzerneinkäufern zu tun, nicht mit Laptopkäufern. Die fragen nicht nach Performance und Watt, sondern wieviel ihnen der "Intel inside"-Aufkleber an Rabatten bringt.

ryan
2024-02-03, 22:59:30
Intels Laptop-Markt besteht vor allem aus Lenovo, HP, Dell, Acer und Asus. Man hat es mit Konzerneinkäufern zu tun, nicht mit Laptopkäufern. Die fragen nicht nach Performance und Watt, sondern wieviel ihnen der "Intel inside"-Aufkleber an Rabatten bringt.


Vor allem brauchen große Konzerne große Stückzahlen und Sicherheiten, die sie bei AMD nicht bekommen, weil AMD nichts liefern kann. AMD kann nur in sehr begrenzten Maß Asus und die anderen mit Chips versorgen. Das zeigt sich auch preislich immer mehr. Die paar Chips, die zu bekommen sind, gehen oft in höherpreisige Geräte bzw. bietet AMD zuerst ihre High-end Chips mit kleinerem Volumen an. Hawk Point startet ja auch erst mit dem größten Modell Ryzen 9 8945HS.

amdfanuwe
2024-02-04, 00:55:17
Vor allem brauchen große Konzerne große Stückzahlen und Sicherheiten, die sie bei AMD nicht bekommen, weil AMD nichts liefern kann. ...
Hawk Point startet ja auch erst mit dem größten Modell Ryzen 9 8945HS.
In welcher Phantasiewelt lebst du denn?
Das Angebot seitens AMD steht
https://pics.computerbase.de/1/1/0/2/8/2-9fd7bd347b5ddb98/25-1080.0fcb3e6f.png
Wenn keine Bestellungen kommen, wird AMD sicherlich nicht Mengen auf Halde produzieren.
Zudem hat AMD mit den Konsolenchips gezeigt, dass sie ein verlässlicher Partner sind.

Tobalt
2024-02-04, 01:11:25
Btt please

ryan
2024-02-04, 01:56:48
In welcher Phantasiewelt lebst du denn?
Das Angebot seitens AMD steht
https://pics.computerbase.de/1/1/0/2/8/2-9fd7bd347b5ddb98/25-1080.0fcb3e6f.png
Wenn keine Bestellungen kommen, wird AMD sicherlich nicht Mengen auf Halde produzieren. Zudem hat AMD mit den Konsolenchips gezeigt, dass sie ein verlässlicher Partner sind.



Das Angebot steht auf dem Papier. Ein Slide mit SKUs drauf soll was genau beweisen? Wen willst du hier als dumm verkaufen?

Mach einfach mal die Augen auf. Wenn du das Offensichtliche nicht erkennst, bist du ein wenig verblendet. Nach jedem Notebook launch wird das immer wieder mehr als deutlich. AMD bringt Hawk Point im Dezember und spricht selber ab Februar. Nie ist AMD Schuld am paper launch und der trägen Einführung, es ist immer das böse Intel. Die Verblendung kennt bei manchen keine Grenzen.

Was meinst du denn, warum AMD mehrere Architekturen gleichzeitig aktuell hält? Einfach nur zum Spaß oder was? Lucienne, Barcelo, Cezanne macht immer noch den Löwenanteil aus bei AMD. Warum priorisiert AMD die großen Modelle bei den Neuen? Nicht etwa weil die Marge größer ausfällt? U Modelle kamen bei Phoenix Monate später, obwohl sie auf dem Papier von Anfang an mit drauf waren. Die haben ganz klar nicht mehr die Priorität, weil diese Lücke zunehmend von Chips aus älteren Architekturen gefüllt werden. Erste Listungen von Hawk Point sind allesamt vom Ryzen 9 8945HS. Dabei ist das nur ein refresh vom gleichen Vorgänger Chip. Das kann was werden bei Strix Point. Da wird man bestimmt wieder 6 Monate auf den launch draufrechnen können.

amdfanuwe
2024-02-04, 03:10:01
Die Verblendung kennt bei manchen keine Grenzen.

Seh ich auch so.

Saugbär
2024-02-04, 15:22:40
Wenn AMD mit den gleichen Margen wie Intel arbeiten könnte, sehe die Sache anders aus.
Kein Hersteller will 100€ pro Laptop verlieren, nur weil AMD draufsteht.

Das AMD dann auch noch ein kleines Fertigungskontingent hat, ist auch nicht hilfreich, ebenso das die neuesten Fertigungsnodes teurer sind.

Dazu müßte AMD mal kurz mehrere Milliarden € und Personal in die Hand nehmen.
Intel kann es, AMD eher nicht, das gut laufende Konsolengeschäft muß ja auch weiterlaufen.

basix
2024-02-05, 22:35:03
AMD Patente: DOUBLE SIDE TRANSISTORS ON SAME SILICON WAFER
https://patentscope.wipo.int/search/en/detail.jsf?docId=US421018885&_cid=P10-LS9G6J-41289-1
CROSS FET SRAM CELL LAYOUT für GAA
https://patentscope.wipo.int/search/en/detail.jsf?docId=US420412800&_cid=P10-LS9G6J-41289-1

davidzo
2024-02-05, 23:51:59
Die fragen nicht nach Performance und Watt, sondern wieviel ihnen der "Intel inside"-Aufkleber an Rabatten bringt.
Da nützt es aber wenig dass Intel MTL ausgerechnet deutlich teurer macht als die Vorgängerplattform. Von dem was man so hört ist MTL deswegen bei einigen Herstellern DOA. Sie machen zwar die Standarddesigns die Intel ihnen hinstellt und schieben die fehlenden Verkäufe dann aber auf die Kunden. Mit Raptorlake refreshes und Phoenix bzw, dessen refresh hawk lässt sich wahrscheinlich aktuell deutlich mehr Geld verdienen.

AMD bringt Hawk Point im Dezember und spricht selber ab Februar. Nie ist AMD Schuld am paper launch und der trägen Einführung, es ist immer das böse Intel. Die Verblendung kennt bei manchen keine Grenzen.

Was meinst du denn, warum AMD mehrere Architekturen gleichzeitig aktuell hält? Einfach nur zum Spaß oder was? Lucienne, Barcelo, Cezanne macht immer noch den Löwenanteil aus bei AMD.
Das ist richtig, dass AMD Notebooks seltener sind und manchmal wesentlich länger brauchen bis sie auf dem markt sind, hat aber mit den Produktionsvolumina nichts zutun.

Das liegt viel eher daran dass es für OEMs wesentlich mehr Aufwand und Entwicklungszeit ist ein AMD Modell aufzulegen als ein Intel Modell. Intel hat einfach die fertigeren Referenzdesigns, um ein vielfaches mehr Field support Engineers die einem im Zweifelsfalle das Produkt von Schematics, Platinen bis Firmware fertig entwickeln.

AMD dagegen ist ne Lean-Bude die auch mal gerne was outsourced. Selbst viele interne AMD Testplatinen und Geräte und Software sind von drittfirmen gemacht weil das halt die profis sind und günstiger geht als wenn man sich einen riesigen inhouse system design service leisten würde. Das fängt auf dem Chiplevel mit lizensierter IP an und hört auf dem Firmware oder sogar Treiberlevel mit lizensierter Software auf.

Praktisch muss ein OEM für die Entwicklungsressourcen also selber aufkommen oder den langsameren Weg der inhouse Entwicklung gehen, so wie Asus. Asus ist ja auch nicht ohne Grund der eigentliche Entwickler von den ganzen chinesischen Mini-PC Marken wie Beelink, Miniforum etc. - BTW ist Asus auch ein gutes Beispiel dafür dass es eben keine Verzögerungen bei der Verfügbarkeit der CPUs gibt, denn Asus hat durch die eigene Designabteilung in der Regel schon zum Launch ein bis zwei Plattformen fertig und verfügbar (ROG Zephyrus).

Ein Notebook mit AMD Plattform zu entwickeln ist einfach eine wesentlich teurere, riskantere Angelegenheit als einfach ein fertiges Intel Design von der Stange zu nehmen. Es muss sich von der Stückzahl her deshalb auch eigentlich besser verkaufen oder eine höhere Marge haben als Intel Notebooks damit es sich für den OEM lohnt. Es macht also Sinn weniger AMD Modelle anzubieten zwischen denen sich die Kunden für einen AMD prozessor entscheiden können, weil dann die Amortisation der Entwicklungskosten pro Modell besser ist.
Es macht aus demselben Grund ebenfalls Sinn einfach sein altes Design zu recyclen, da dort die Entwicklungskosten schon abgeschrieben sind.

Die Produktstrategie alte CPUs zu rebranden ist viel eher eine Initiative der OEMs und Hersteller, als von AMD angetrieben.
AMD weiß dass dies eine Strategie ist um die geringen Designkapazitäten und fehlenden Field Support auszugleichen und die OEMs glücklich zu machen.


Wenn du dir mal die wenigen AMD Datenblätter anschaust die öffentlich einsehbar sind und diese mit vergleichbaren Intel Datenblättern vergleichst, merkst du schnell dass diese im Umfang nicht mithalten können. Und genau das ist was EDA Engineers sich wünschen: Umfangreiche Datenblätter die einem weitgehend eigene Risiken und Testphasen abnehmen können.


Wenn AMD mit den gleichen Margen wie Intel arbeiten könnte, sehe die Sache anders aus.
Kein Hersteller will 100€ pro Laptop verlieren, nur weil AMD draufsteht.

Das AMD dann auch noch ein kleines Fertigungskontingent hat, ist auch nicht hilfreich, ebenso das die neuesten Fertigungsnodes teurer sind.

Das ist aktuell nicht das Problem. AMD kann sich ganz andere Margen leisten. Intel ist aktuell bei einer historischen Tiefstmarge von 38%, AMD dagegen bei 46%. Meteorlake sind nicht nur eine Menge DIEs, sondern auch teuer im packaging und QC. Die Kosten versucht Intel derzeit an die OEMs weiter zu geben, aber ohne Leistungsvorteil klappt das schlecht. Im Endeffekt kann Intel die Produkte so wie sie sind entweder nurn unter sehr hohen Marketingkosten absetzen, oder sie könnten sich das sparen von vornherein größere Rabatte gewähren. Erst Arrowlake hat wieder die chance da die Performance/Kosten Struktur zu ändern indem man sich spürbar von Raptorlake und Hawk absetzen könnte.

Zossel
2024-02-06, 13:03:35
TSMC baut in Japan eine weitere Einrichtung, der Komplex JASM wird damit zur Gigafab. In dieser sollen über 100.000 Wafer pro Monat mit Chips in den Stufen von 40 nm, 22/28 nm, 12/16 nm und nun auch 6/7 nm vom Band laufen. Mit dabei ist neben Sony und Denso nun auch Toyota.

[ ...... ]

Erneut wird darauf hingewiesen, dass es eine sehr starke Unterstützung der japanischen Regierung gebe. In den vergangenen Jahren wurde spekuliert, dass wohl über die Hälfte des Projekt von Japan gezahlt werde.

https://www.computerbase.de/2024-02/jasm-teil-2-tsmcs-japan-projekt-geht-mit-toyota-und-n6-in-naechste-runde/

davidzo
2024-02-06, 20:49:47
Ich finde es sehr lobenswert von der japanischen Regierung dass nie die genaue Fördersumme genannt wird. oder wenn konkrete Zahlen dann nur konkrete Teilbeträge und keine schöngerechneten Gesamtsummen. Ein bisschen so wie bei den Finnen und Norwegern und der Ukrainehilfe - man sagt dass es Förderungen gebe, aber schwiegt zu den Details aus taktischen Gründen.

Subventionen sind nämlich ein Race to the bottom, also je mehr Zahlen international genannt werden desto größer ist der Druck für noch höhere Fördersummen in Zukunft.

Unsere Politiker haben das leider nicht kapiert und gehen mit solchen Zahlen offensiv hausieren - noch dazu nicht mit echten zahlen sondern friesierten, schöngerechneten Zahlen. Das ist extrem kontraproduktiv für den Steuerzahler, da es den globalen Markt um Subventionen unnötig anheizt und das kostet im Endeffekt wieder den Steuerzahler eine Stange extra Geld auf Dauer.

Zossel
2024-02-06, 23:04:31
Ich finde es sehr lobenswert von der japanischen Regierung dass nie die genaue Fördersumme genannt wird.

Staatsknete ist treuhändische Kohle, da ist Intransparenz ein absolutes Nogo!

Zossel
2024-02-08, 19:44:57
ARM gibt an, 470 Millionen Dollar mit Royalty-Abgaben eingenommen zu haben und 354 Millionen mit Lizenzen. Eine genauere Aufschlüsselung gibt es nicht.


https://www.heise.de/news/ARM-verdient-an-7-7-Milliarden-Chips-824-Millionen-US-Dollar-9623177.html

Ridcully
2024-02-10, 07:53:15
Passt diese News hier rein?

Sam Altman ( Open AI CEO) plant mit Investoren den Rechenzentren-Ausbau und Chip Fabrik Bau mit bis zu sieben Billionen Dollar.

Derzeit ist der Gesamtumsatz für Chips bei etwa 500 Milliarden pro Jahr. Er hält AI für zukünftig so wichtig das der Umsatz extrem steigt und daher alle Akteure gemeinsam die Investitionen extrem steigern sollten.

Bisher hängt der AI Markt daran das Nvidea Intel oder amd was passendes liefern. Er will das Staaten und Unternehmen gemeinsam ihre Investitionen extrem erhöhen. Das würde die Technologie Entwicklung möglicherweise sehr beschleunigen.

Zossel
2024-02-10, 08:54:13
Bisher hängt der AI Markt daran das Nvidea Intel oder amd was passendes liefern. Er will das Staaten und Unternehmen gemeinsam ihre Investitionen extrem erhöhen. Das würde die Technologie Entwicklung möglicherweise sehr beschleunigen.

Und wieder ruft risikoscheues Gesindel nach Staatsknete.
Und wenn "möglicherweise" eintrifft sind die Gewinne natürlich zu privatisieren.

Complicated
2024-02-10, 14:34:45
Ich denke die erste Disruption wird KI im Urheberrechte Markt machen. Die Kosten steigen bei Hardware ebenso wie für Inhalte auf denen die KI trainiert werden soll. Regierungen werden schnell merken, dass Subventionen per Gesetz billiger sind und die Lobbygruppen gegeneinander ausspielen. Kann die KI Branche sich nicht gegen die Verlage durchsetzen, werden sie auch kein Cash für Hardware bekommen.

Zossel
2024-02-19, 08:26:45
Da will jemand eine Größenordnung mehr auf KI-Kram werfen als der KI-Fritze aus dem Silicon-Valley:

https://www.heise.de/news/Attacke-auf-Nvidias-KI-Pfruende-mit-100-Milliarden-Startup-9631837.html

Hübie
2024-03-09, 00:03:16
Keine Ahnung ob es hier her gehört, aber da es irgendwie in das Themengebiet passt:
USA fordern Lieferstopp auf Zeiss-Linsen für Chinas Chipfertiger
https://m.winfuture.de/news/141589

Da ich für Zeiss tätig bin finde ich es mehr als bedenklich, wenn man solchen Forderungen nachkommt, da TSMC doch eher zurückhaltend bei der Abnahme aktueller EUV Systeme war, was wohl auch politisch motiviert sein dürfte (Reminder: China plant bis 2026 Taiwan zu 'annektieren'). Das könnte gesamtheitlich betrachtet den Fortschritt bremsen. Wie seht ihr das?

ChaosTM
2024-03-09, 00:12:59
Jesus, das ganze ist extrem Komplex.
Zeiss ist nur einer der Player.

Ich lese nur mehr..

Slipknot79
2024-03-09, 01:24:33
Wie seht ihr das?


Es tun sich Märkte auf, habe gehört, dass die Ukraine Pro-Linsen gebrauchen kann. (y)

Zossel
2024-03-09, 08:11:23
USA fordern Lieferstopp auf Zeiss-Linsen für Chinas Chipfertiger
https://m.winfuture.de/news/141589

Das könnte gesamtheitlich betrachtet den Fortschritt bremsen. Wie seht ihr das?

Die Entscheidung ist schon längst gefallen als einige Staaten sich für eine konfrontative statt einer kooperativen Vorgehensweise entschieden haben.
Unter welchen Stein hast du eigentlich die letzten Jahre gelebt?

Es ist ja nicht so das "XYZ first" keine Konsequenzen hätte. Um die Vorteile von sozialen Verhalten zu erkennen bedarf es einem gewissen Grad an Intelligenz.

basix
2024-03-09, 09:30:34
Das Thema ist ja so, dass die USA primär an Eigennutz interessiert sind. Und jetzt wollen sie über vieles bestimmen, was sie eigentlich gar nicht dürften. Anderes Land, anderer Kontinent. Andere Menschen. Wir als Europäer ducken uns dann weg, weil wir die Konsequenzen fürchten. Ist das das "soziale Verhalten", das du ansprichst? Dem Bully auf dem Schulhof ausweichen? Zusammen arbeiten und zusammen mehr erreichen sieht für mich anders aus.

Zossel
2024-03-09, 09:37:11
Das Thema ist ja so, dass die USA primär an Eigennutz interessiert sind. Und jetzt wollen sie über vieles bestimmen, was sie eigentlich gar nicht dürften. Anderes Land, anderer Kontinent. Andere Menschen. Wir als Europäer ducken uns dann weg, weil wir die Konsequenzen fürchten. Ist das das "soziale Verhalten", das du ansprichst? Dem Bully auf dem Schulhof ausweichen? Zusammen arbeiten und zusammen mehr erreichen sieht für mich anders aus.

Niemand zwingt dich deine Waren in Dollar zu handeln oder Banken zu nutzen die Dollar handeln.

Badesalz
2024-03-10, 19:15:22
Niemand zwingt dich deine Waren in Dollar zu handeln oder Banken zu nutzen die Dollar handeln.Bis auf die Realität.

Hübie
2024-03-12, 18:29:25
Das Thema ist ja so, dass die USA primär an Eigennutz interessiert sind. Und jetzt wollen sie über vieles bestimmen, was sie eigentlich gar nicht dürften. Anderes Land, anderer Kontinent. Andere Menschen. Wir als Europäer ducken uns dann weg, weil wir die Konsequenzen fürchten. Ist das das "soziale Verhalten", das du ansprichst? Dem Bully auf dem Schulhof ausweichen? Zusammen arbeiten und zusammen mehr erreichen sieht für mich anders aus.

Genau das ist es. Sie wollen lenken wo es nur geht und das ist doch sehr bedenklich. Es wird jetzt immer konkreter. Man merkt langsam, wie sich der Wind dreht. Andererseits sieht es danach aus, daß CZ in Jena mehr Richtung SMT expandiert. Also ja, es kann sein, dass sich neue Märkte auftun.

dildo4u
2024-03-23, 12:59:14
AMD plant teilweise bei Samsung zu fertigen


https://wccftech.com/amd-utilize-samsung-4nm-process-low-end-ryzen-apus-radeon-gpus-rumor

ChaosTM
2024-03-23, 13:17:21
Wundert mich nicht.
Apple und NV belegen sicher das meiste an deren Kapazitäten.

Brillus
2024-03-24, 12:01:53
Wie ich es lese geht es auch um die Billigchips. Ich denke mal Samsung ist da auch einfach güngstiger.

Platos
2024-03-24, 19:23:47
Also es geht doch um eine APU, die bei Samsung gefertigt werden soll. Also nicht um Grakas oder die regulären Desktop-CPUs.

Aber so oder so finde ich das gut. Wenn alle nur noch bei TSMC fertigen, gibts weiterhin keinen (richtigen) Konkurrenzkampf an der Spitze. Also wäre es gut, wenn mal ein paar grössere, bekannten Chips bei Samsung gefertigt werden.

Ich hoffe auch, dass nvidia (wenigstens einen Teil) der Blackwell Generation bei Samsung fertigen lässt.

Wundert mich nicht.
Apple und NV belegen sicher das meiste an deren Kapazitäten.

...und Intel ist ja mittlerweile auch teilweise bei TSMC. Graka + CPU wohlgemerkt.

dildo4u
2024-03-29, 09:32:01
4nm Samsung sieht ziemlich gut aus wenn die Yields stimmen.


https://www.gsmarena.com/samsung_galaxy_a55-review-2684p3.php

Zossel
2024-03-29, 12:10:04
Aber so oder so finde ich das gut. Wenn alle nur noch bei TSMC fertigen, gibts weiterhin keinen (richtigen) Konkurrenzkampf an der Spitze. Also wäre es gut, wenn mal ein paar grössere, bekannten Chips bei Samsung gefertigt werden.

Die vorletzten GPUs von NV?

Zossel
2024-03-29, 21:28:24
Apple arbeitet mit Samsung an Glassubstraten:

https://www.derstandard.at/story/3000000213845/apple-arbeitet-an-neuem-prozess-der-chip-entwicklung

Iterator
2024-03-29, 22:51:37
Wird wohl das nächste große Ding (https://www.computerbase.de/2023-09/glas-statt-abf-intel-will-das-substrat-fuer-chips-neu-erfunden-haben/#update-2023-09-19T21:13)sein. Im kleinen Rahmen soll wohl schon seid 15 Jahren an Unis und anderen Forschungseinrichtungen daran geforscht werden. Das klassische organische Substrat ist wohl auch so langsam am Ende für zukünftige Anwendungen am Ende angekommen. Es soll wohl 2024 schon erste Test Chips geben. Die zweite Generation soll wohl 2026 erscheinen.

Skysnake
2024-03-30, 07:17:08
Glas bzw Cheraamik Substrate gibt es schon ewig. Die sind halt einfach nur teuer bis jetzt.

Es wäre absolut kein Problem von FR4 wegzunehmen, aber den Schritt will halt keiner als erstes gehen so lange es irgendwie anders machbar ist.

Zossel
2024-04-03, 10:30:30
Der Chiphersteller Intel fertigt auch im Auftrag anderer Unternehmen. In dieser Sparte ist zuletzt allerdings ein Verlust von mehr als sieben Milliarden Dollar angefallen. Und er soll in diesem Jahr nochmals größer ausfallen. Dann aber rechnet der US-Konzern mit einer Erholung - und in spätestens drei Jahren mit schwarzen Zahlen.
https://www.n-tv.de/wirtschaft/Intel-Sparte-schreibt-Milliarden-Verlust-article24846130.html

Skysnake
2024-04-03, 10:36:33
We will see

Zossel
2024-04-07, 23:18:25
Der Verlust ist hier noch deutlich höher, schließlich ist Intel auch viel größer, hat aber letztlich doch nahezu die gleichen Probleme: Allein 6,96 Milliarden US-Dollar hat man im Jahr 2023 als Verlust gemeldet, nach 5,2 Milliarden US-Dollar Minus in 2022 und 5 Milliarden US-Dollar Minus in 2021.

https://www.computerbase.de/2024-04/intel-und-die-neuaufstellung-prozessoren-zahlen-fortan-nicht-mehr-fuers-miserable-foundry-geschaeft/

mocad_tom
2024-04-08, 09:48:01
Den Artikel empfinde ich knapp am Unfug vorbei.

Intel hat in den Jahren 2010 bis 2019 wahrscheinlich nur Plus mit der Fertigungssparte gemacht.

In den Jahren unter Krzanich wurden Aktien zurückgekauft und das R&D-Budget runtergedreht.

Intel hat tiefe Taschen.
Die Fabs an sich würden ja profitabel laufen, nur muss jetzt für R&D über Gebühr ausgegeben werden, um wieder an TSMC ranzukommen.

Also muss man aus dem operativen Geschäft Geld rausnehmen und das ins R&D reinstecken. Und das schaut in den nächsten Jahren Mittelgut aus.

Wenn das jetzt wirklich
2021 5Mrd Minus
2022 5Mrd Minus
2023 7Mrd Minus
2024 7Mrd Minus
2025 5Mrd Minus

Aufkumuliert 29Mrd Minus

Das sind Peanuts. Wer mit dem bleeding Edge Prozess dann auch bleeding Edge Produkte raushauen kann, der kann im AI Business komplett abräumen.

----------------------------------------------

Dann zum Thema TSMC und Erdbeben.
Die Schlaufüchse haben ja als Pressemeldung nur rausgegeben, dass sie zwischen 20% bis 30% wachsen werden in diesem Jahr und an dieser Prognose vom Jahresanfang festhalten wollen(das kommunizieren sie ihren Shareholdern).

Für mich klingt das so als würden sie jetzt intern ihren Kunden teurere Preise Aufquatschen wegen "höhere Gewalt" / unvorhersehbares Naturereignis.
Und ihr Volumina verknappen(klar wo sollen sie es auch herzaubern, die Fabs waren bei 100% Auslastung).

Ich bin gespannt auf die nächsten Wochen - die haben bei den Nachbeben immer noch 4,5er bis 5,5er Nachbeben.

Ich will eine Pressemeldung von TSMC sehen, wo sie bekannt geben "So jetzt haben wir wieder die gleichen Yields und die Anzahl Waferstarts pro Tag wie am 28. März".

Alles andere ist Augenwischerei.

Die können die Maschinen perfekt da stehen haben(keinerlei Beschädigungen) aber es fehlt komplett die Kalibrierung, dann kommen da nur Schrott-Wafer raus.
Und so lange hier nur so weichgespülte Presse rumläuft wird da auch nicht hineingefragt.

Zweite interessante Zahl:
Im Januar wurde mir als Abnehmer mitgeteilt, meine Wafer laufen in KW 25 durch die Fab, durch die Erdbeben-Verschiebungen sind sie jetzt in KW 25+X.

Wie große schätzt ihr ist die Zahl X?
Ich gehe davon aus, dass X irgendwo zwischen 3 und 9 Wochen liegt.
Es ist verdammt still aktuell. Dass sie gar keine Verschiebungen haben glaube ich nicht, weil Minimum auch ein Chemikalien-Zulieferer Engpässe haben wird oder sonst irgendjemand.

Liste mit Nachbeben
https://earthquake.usgs.gov/earthquakes/map/?currentFeatureId=us7000m9gc&extent=22.64174,-240.63354&extent=25.2346,-236.84326&range=week&magnitude=4.5&listOnlyShown=true

Wie willst du Nachkalibrieren, wenn der Boden dauernd wackelt.

Tobalt
2024-04-08, 10:16:35
Ich glaube nicht dass die Belichter großartig für diese Minibeben empfindlich sind. Erdbeben sind ja sehr langsame Frequenzen. Die Scanner sind ja quasi OIS Systeme on steroids. Mit "Kalibrierung" erreicht man keine reproduzierbaren Error budgets im sub-nm Bereich. Das ist alles komplett feedback stabilisiert. Und da stören die Erdbebenfrequenzen eher wenig.

Allerdings kann natürlich an der sonstigen Bausubstanz was kaputtgehen... Rohrbrüche etc. Ich würde mit ~2 Wochen rechnen inkl. dem Wiederanfahren. Davon ab wird TSMC sich natürlich nicht in die Karten blicken lassen, und das mangels Konkurrenz maximal zur Margenmaximierung ausschlachten.

mocad_tom
2024-04-08, 17:09:04
https://twitter.com/BrianRoemmele/status/1777175008986722603

Ich, wie ich sehr überrascht diese Nachricht lese

Zossel
2024-04-09, 16:27:47
Axion: Google stellt seine erste eigene CPU vor

Der Arm-Chip ist ganz auf den Einsatz den Einsatz im Rechenzentrum ausgelegt und soll dort erheblich bessere Effizienz und Performance als x86-CPUs bieten

https://www.derstandard.at/story/3000000215171/axion-google-stellt-seine-erste-eigene-cpu-vor

Tobalt
2024-04-10, 09:42:47
War ja eine Frage der Zeit. Was ich mich bei einem Schwergewicht wie Google aber frage:

Die Teile sind ja sicher ausschließlich für den eigenen Gebrauch konzipiert und werden in großen Stückzahlen zum Einsatz kommen. Wieso greift man hier also auf ARM zurück und drückt Lizenzkosten ab?

Arm ist zwar wohl besser als x86 in Punkto kosten und mglw. "schlanker" vom instruction set; aber warum nicht gleich was ganz eigenes?? Ganz schlankes RISC mit auf die eigenen Datenstrukturen ausgelegten fused ops.

Skysnake
2024-04-10, 09:52:57
Und was soll dann darauf laufen?

Software sind Billionenwerte und schon allein der Rückschritt in der Software von x86 auf Arm tut weh.

Zossel
2024-04-10, 10:56:46
Die Teile sind ja sicher ausschließlich für den eigenen Gebrauch konzipiert und werden in großen Stückzahlen zum Einsatz kommen. Wieso greift man hier also auf ARM zurück und drückt Lizenzkosten ab?


Die Antwort steht im Artikel: "Auf Basis der Vorlage der Arm-Neoverse-V2-Architektur soll Axion ......"

Tobalt
2024-04-10, 11:39:42
Ja schon klar. Heißt das nun, dass sie die Arm Lizenz nutzen oder dass sie in Eigenregie etwas Neoverse artiges bauen? Das meine ich.

Nightspider
2024-04-10, 20:45:41
@smalM:

Sind dir Infos bekannt ob TSMC die Bauarbeiten an den Advanced Packaging Fabs noch etwas beschleunigt hat durch den AI Hype?

Während der Chip Krise ist man ja auch mit "über 100%" gefahren mit Sonntags und Feiertagsarbeit und div. Bonuszahlungen für Mitarbeiter.
Könnte mir daher gut vorstellen, das TSMC und Taiwan selbst noch etwas aufs Gas treten seit Monaten um die Fertigstellung zu beschleunigen und das Maximum aus den Plänen herauszuholen.

Zossel
2024-04-10, 21:21:17
Könnte mir daher gut vorstellen, das TSMC und Taiwan selbst noch etwas aufs Gas treten seit Monaten um die Fertigstellung zu beschleunigen und das Maximum aus den Plänen herauszuholen.

Soll etwa der taiwanische Gesetzgeber ein paar Gesetze erlassen um Arbeitnehmer besser knechten zu können?

Hübie
2024-04-19, 09:43:10
https://www.heise.de/news/High-NA-Chips-Intels-neuste-Belichtungsanlage-ist-fertig-9690280.html

Die erste der High NA Anlagen ist nun betriebsbereit. Die Spiegel kommen von uns (Zeiss). Das ist ein weiterer Meilenstein und sorgt hoffentlich nun auch für mehr Bestellungen der anderen Foundries. Die Nachfrage ist allerdings noch nicht sehr hoch.

Zossel
2024-04-19, 11:03:30
Google wird wohl in Zukunft mehr "eigene" Chips produzieren lassen, auch für Apple könnte der Markt in Zukunft etwas unangenehmer werden:

Google baut groß um, und das heißt vor allem eines: Unter der Leitung des bisherigen Hardwarechefs Rick Osterloh wird eine gemeinsame "Plattformen und Geräte"-Abteilung geschaffen. Neben der Entwicklung neuer Pixel-Devices ist diese künftig auch für Android, den Browser Chrome, das Betriebssystem ChromeOS sowie für Google Photos zuständig. Das kündigt Firmenchef Sundar Pichai in einem Blogposting des Unternehmens an.

https://www.derstandard.at/story/3000000216584/google-baut-gross-um-android-und-hardware-werden-in-einer-abteilung-zusammengefuehrt

Solange es bei günstigen Pixel *a Devices bleibt soll mir das recht sein. Mehr Telefon braucht kein Schwein.

Lurtz
2024-04-19, 12:38:02
"Günstig". Die sind ja jetzt auch schon bei ~500€ angelangt.

smalM
2024-04-20, 21:56:56
Google wird wohl in Zukunft mehr "eigene" Chips produzieren lassen, auch für Apple könnte der Markt in Zukunft etwas unangenehmer werden
Also wie soll ich es ausdrücken, wie unangenehm Googles Smartphonegeschäft für Apple werden könnte?
In einer Statistik über Smartphoneverkäufe mit einer Auflistung der 10 größten Anbieter war Huawei letztes Jahr die Nr. 10 mit knapp 3,2%.
Google war bei den Sonstigen.

basix
2024-04-22, 12:56:34
Interessanter Artikel zu DSA (Directed Self-Alignment) bei Intels 14A:
https://www.semianalysis.com/p/intels-14a-magic-bullet-directed

smalM
2024-04-23, 16:44:10
via AnandTech Forum:
Measured Data and Detailed Analysis of Apple A17 on TSMC's 3nm Node (https://www.youtube.com/watch?v=veikj5uvAc8)

Orko
2024-04-24, 15:55:08
Interessanter Artikel zu DSA (Directed Self-Alignment) bei Intels 14A:
https://www.semianalysis.com/p/intels-14a-magic-bullet-directed

Das finde ich mal einen richtig interessanten Artikel, wobei die Möglichkeiten (wenn das so wie beschrieben real funktioniert) IMO weit über den im Artikel beschriebenen Anwendungsfall hinausgehen.

Blende ich gedanklich den durch EUV Litho erzeugten Seed-Layer aus, und fokussiere mich auf das beschriebene PMMA/PS Materialschema so sehe ich:

1) Strukturen werden in ihrer Breite (z.B. Leiterbahnbreiten oder Leiterbahn Edge/Edge Abstände) nicht mehr primär lithographisch definiert, sondern durch die Länge von Molekülketten.

Wen nun nicht mehr wie beschrieben einfache PMMA-PS Moleküle, sondern strukturiertete PMMA-PS-PMMA-PS-... Moleküle verwendet werden, die sich an beiden Enden an lithographisch vorgegebene Seed-Strukturen anlagern, lassen sich so in einem einzelnen Prozess-Schritt effektiv Strukturbreiten realisieren, die deutlich unterhalb der lithographischen Auflösung liegen.

2) Aktuell zu erzeugende Strukturen können sich per Selbtjustage (Self-Alignnment) an bereits auf dem Wafer befindlichen Strukturen ausrichten.

Wenn sich das Konzept übertragen lässt, ist das IMO vor allem bei den vertikalen Kontaktierungen zwischen den Leiterbahnebenen (Vias) interessant.

Metallagen über Vias (Kappen) müssten nicht mehr unbedingt eine Größe von Viadurchmesser + 2*Overlay haben, damit sich Via und Metallage sicher mit vollem Viadurchmesser überlappen. Sondern Vias und Metallagen (incl deren Abständen) würden sich partitiell per Selbtjustage ausrichten.

Anschaulich würde ich das so betrachten: Wenn eine Leiterbahn mit Breite = Viadurchmesser die Via nicht exakt trifft, so krümmt sie sich (und das direkte benachbarte Umfeld) so dass die Via exakt getroffen wird.

basix
2024-04-24, 19:23:25
Für mich hört sich das auch ein wenig nach Nanotechnologie an, wo Strukturen über (Polymer-)Molekülketten definiert werden. Und dann danach wachsen können. Bei DSA wird es als "Guidance" / Leitplanke fürs spätere ätzen verwendet, womit die Anforderungen an das Lithographie-System und somit EUV drastisch sinken. Hatte mal einen Kurs an der Uni zu dem Thema. Wäre es eine Magic Bullet ohne Nachteile, würde man es aber schon länger machen. Aber ab 14A und EUV vs. High-NA / Hyper-NA etc. scheint es sich dann jedoch zu lohnen.

Zossel
2024-04-29, 16:22:10
So sieht 1,6 nm bei TSMC aus:

https://www.anandtech.com/show/21369/tsmcs-16nm-technology-announced-for-late-2026-a16-with-super-power-rail-bspdn